Lo más destacado de la versión 2025.1 del software de AMD Vivado™:

Instalador selectivo unificado de dispositivos para todos los dispositivos Versal

  • Reduce el tamaño de descarga de Vivado significativamente en comparación con versiones anteriores
  • Los usuarios podrán seleccionar uno o más dispositivos, en lugar de una serie completa mientras instalan Vivado Design Suite

Mejoras de QoR de Versal

  • Alineación de señales de reloj calibrada: opción que permite habilitar la compensación de desviaciones calibradas para minimizar las desviaciones locales y globales solamente en los dispositivos SSIT Versal
  • Compatibilidad con NoC de varias fases: reduce el tiempo de los requisitos de QoS y ancho de banda para maximizar el rendimiento de NoC

Arranque flexible del sistema de procesamiento en dispositivos Versal

  • Arranca primero el sistema de procesamiento y, luego, carga dinámicamente el PL sobre la marcha
  • Acceso público para todos los dispositivos de producción de Versal​
  • Flujo predeterminado para los dispositivos de las Series Versal Prime de 2.ª generación y Versal AI Edge de 2.ª generación

Continuar habilitando flujos RTL

  • Nueva IP de conmutación de AXI: una IP totalmente personalizable basada en RTL que sirve como puente entre diferentes tipos de interfaz AXI y anchos

Mejoras de facilidad de uso

  • Dos vistas dedicadas de “Clocking and Reset” e “Interrupt and AXI-4 Lite” en IP Integrator que ofrecen más información
  • Nuevo planificador de Pblock, un centro integral, con todo lo relacionado con la creación de un pblock
  • Nueva GUI de direccionamiento para agrupar automáticamente los espacios de direcciones equivalentes para los dispositivos Series Versal Prime de 2.ª generación y Versal AI Edge de 2.ª generación
  • Compatibilidad con GUI para report_dfx_summary, que brinda acceso directo a datos específicos de DFX (Dynamic Function eXchange, intercambio de funciones dinámicas) para una depuración mejorada

Novedades del software Vivado 2025.1 por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras del software Vivado 2025.1.

  • Dispositivos listos para la producción:
    • Spartan UltraScale+: XCSU10P, XCSU25P y XCSU35P 
       
  • En dispositivos de acceso general (GA):
    • Serie Versal AI Edge de 2.ª generación XC2VE3558, XC2VE3504, XC2VE3858, XC2VE3804
    • Serie Versal Prime de 2.ª generación​: XC2VM3558, XC2VM3858 

  • Capacidad de iniciar el sistema de procesamiento primero y, luego, cargar de manera dinámica el PL sobre la marcha, lo que permite una rápida puesta en marcha del sistema operativo y diversos flujos de secuencia de inicio
  • opción que permite habilitar la compensación de desviaciones calibradas para minimizar las desviaciones locales y globales solamente en los dispositivos SSIT Versal
  • Reduce el tiempo de los requisitos de QoS y ancho de banda para maximizar el rendimiento de NoC
  • Nuevo planificador de Pblock, un centro integral, con todo lo relacionado con la creación de un pblock
  • Mejora en el soporte directivo/subdirectivo para el aumento de QoR mediante un script Tcl que asigna automáticamente las directivas predeterminadas en el flujo estándar a las nuevas directivas/subdirectivas en el flujo avanzado

  • Una IP de conmutación de AXI totalmente personalizable basada en RTL que sirve como puente entre diferentes tipos de interfaz AXI y anchos
  • Dos vistas dedicadas de “Clocking and Reset” e “Interrupt and AXI-4 Lite” en IP Integrator que ofrecen más información
  • Nueva GUI de direccionamiento para agrupar automáticamente los espacios de direcciones equivalentes para los dispositivos Series Versal Prime de 2.ª generación y Versal AI Edge de 2.ª generación

  • Compatibilidad con GUI para report_dfx_summary, que brinda acceso directo a datos específicos de DFX (Dynamic Function eXchange, intercambio de funciones dinámicas) para una depuración mejorada

  • Compatibilidad adicional con las construcciones VHDL 2019 para la simulación, como expresiones condicionales, retorno condicional, registro vacío y vista de modo para interfaces y exclusión de cobertura de código, etc.

Lo más destacado de la versión 2024.2 de AMD Vivado™:

Ubicación y ruta rápidas para todos los dispositivos Versal™

  • Flujo avanzado con colocación automática basada en particiones y ubicación y ruta (P&R) paralelas
  • Reduce la congestión y mejora el enrutamiento para un cierre rápido del diseño
  • Flujo predeterminado para todos los dispositivos Versal

Habilitación de flujos RTL de nivel superior

  • Permite el uso de la NoC (Network on Chip, red en chip) programable Versal y transceptores del RTL de primer nivel.

Configuración segmentada para el inicio rápido del PS (Processing System, sistema de procesamiento) en dispositivos Versal

  • El PS se inicia primero, con configuración diferida de PL (Programmable Logic, lógica programable)
  • Puesta en marcha rápida del sistema operativo con DDR (Double-Data Rate, tasa de datos doble)
  • Cumple con diversos requisitos de secuencia de inicio

Funciones fáciles de usar

  • Nuevo valor predeterminado en tiempo real para el procesador AMD MicroBlaze™ V
  • El HDL (Hardware Description Language, lenguaje de descripción de hardware) en línea de la IP de la utilidad permite una carga y configuración IP más rápidas
  • Visualización mejorada del plano de planta de DFX (Dynamic Function eXchange, intercambio de funciones dinámicas) e informe de resumen de DFX
  • Nueva utilidad para depuración PDI (decodificar y analizar errores de configuración de inicio)
  • Mejoras de GUI (Graphical User Inteface, interfaz gráfica de usuario) para Pblocks durante la planificación de planta
  • Cambio de nombre de la biblioteca compartida de kernel para la XSI (Xilinx Simulator Interface, interfaz de simulador de Xilinx)

AMD Vivado 2024.2 incluye mejoras importantes para el diseño con los SoC adaptables AMD Versal™. Obtén más información.


Novedades de Vivado 2024.2 por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado 2024.2.

  • Nuevo flujo avanzado para todos los dispositivos Versal, que permite la colocación basada en particiones y las P&R paralelas para reducir la congestión y el enrutamiento y lograr un cierre rápido del diseño
  • Capacidad de iniciar el subsistema de procesamiento primero mientras se posterga la configuración de la lógica programable, lo que permite una rápida puesta en marcha del sistema operativo y diversos flujos de secuencia de inicio
  • Mejoras en la GUI para Pblocks durante la planificación de planta, incluida información sobre herramientas, colocación en “modo de instantánea” y acceso rápido a la configuración de propiedades

  • Compatibilidad con valores predeterminados en tiempo real para IP de MicroBlaze V
  • Capacidad para configurar componentes clave de IP dura en dispositivos Versal como CIPS, NoC y transceptores del RTL de primer nivel
  • HDL en línea de la IP de la utilidad para una carga y configuración IP más rápidas

  • Visualización mejorada del plano de planta DFX para facilitar la implementación
  • Informe de resumen de DFX de métricas clave para ayudar a los usuarios a lograr la optimización 

  • Nueva utilidad para depuración PDI (decodificar y analizar errores de configuración de inicio)
  • Cambio de nombre de la biblioteca compartida de kernel para la XSI (Xilinx Synopsys Interface, interfaz de Xilinx Synopsys)

Lo más destacado de la versión 2024.1 de Vivado

Acceso general al procesador softcore MicroBlaze™ V (basado en ISA de código abierto RISC V)

Mejoras de QoR (Quality of Results, calidad de los resultados) (FMAX) para dispositivos Versal™

  • Sincronización y P&R optimizados a través de los límites de SLR (Super Logic Region, región de superlógica) (para dispositivos Versal de SLR múltiples)​
  • Retemporización controlada por el usuario durante la optimización​ física
  • Selección de árbol de reloj controlada por el usuario para minimizar la desviación del reloj

Mejoras de intercambio de funciones dinámicas (DFX)

  • Informes mejorados de diseños DFX para ayudar con el cierre del diseño
  • Se agregó compatibilidad con la configuración en tándem y el DFX destinado a dispositivos SSIT Versal para cumplir con los requisitos de temporización de PCIe®

Power Design Manager​

  • Se agregó compatibilidad con la familia RFSoC Zynq™
  • Gráficos integrados para el análisis what-if y la visualización de categorías de energía
  • Capacidad de exportar contenido de PDM (Power Design Manager​) a hojas de cálculo para compartir información rápidamente

Novedades de Vivado por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado 2024.1.

Síntesis e implementación

  • Sincronización y P&R optimizados para diseños que abarcan los límites de SLR (dispositivos Versal de SLR múltiples)​
  • Retemporización controlada por el usuario durante la optimización física
  • Selección de árbol de reloj controlada por el usuario para minimizar la desviación del reloj
  • Colocación y partición de reloj mejoradas para diseños con muchos relojes de fan-out bajos

Integrador de IP

  • Recreación de proyectos más estable a partir de scripts TCL para ayudar con el control de revisión de diseños basados en IPI (Inter-Processor Interrupt, interrupciones entre procesadores)
  • Acceso general al procesador softcore MicroBlaze V (basado en ISA de código abierto RISC V)

Intercambio de funciones dinámicas

  • Informes mejorados de diseños DFX para ayudar con el cierre de temporización
  • Configuración en tándem para cumplir con los requisitos de temporización de PCIe® (Series Versal Premium y Versal HBM)
  • Sincronización del reloj de NoC para la reducción de energía

Novedades: lo más destacado de la versión 2023.2

Cumplimiento de los objetivos de Fmax

  • Aumenta el rendimiento de los diseños en dispositivos Versal Premium y Versal HBM con ubicación y ruta automáticas de los cruces SLR
  • Generación más rápida de imágenes de dispositivo con compatibilidad de múltiples procesos

Mejoras de facilidad de uso en IPI, DFX, depuración y simulación

  • Nueva ventana de GUI agregada para la visualización de la ruta de dirección desde la fuente y el sumidero para dispositivos Versal en IPI
  • Capacidad de bloqueo de direcciones asignada manualmente en BD (IPI)
  • Visualización mejorada para planos de planta DFX en dispositivos Versal
  • Se agregó compatibilidad con tándem + DFX en el mismo diseño para dispositivos monolíticos Versal
  • Compatibilidad ampliada con la configuración en tándem para la IP DMA en cola en dispositivos UltraScale+™
  • Compatibilidad con VCD del simulador de Vivado para usuarios de SystemC

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2023.2

Compatibilidad de dispositivos

Dispositivos que están listos para la producción:

  • Versal HBM: XCVH1742 y XCVH1782
  • Versal Premium: XQVP1502, XQVP1202 y XQVP1402

Síntesis e implementación

  • Aumenta el rendimiento de los diseños en dispositivos Versal Premium y Versal HBM con ubicación y ruta automáticas de los cruces SLR
  • Generación más rápida de imágenes de dispositivo con compatibilidad de múltiples procesos

Integrador de IP

  • Nueva ventana de GUI agregada para la visualización de la ruta de dirección desde la fuente y el sumidero para dispositivos Versal en IPI
  • Capacidad de bloqueo de direcciones asignada manualmente en BD (IPI)

Intercambio de funciones dinámicas

  • Visualización mejorada para planos de planta DFX en dispositivos Versal
  • Se agregó compatibilidad con tándem + DFX en el mismo diseño para dispositivos monolíticos Versal
  • Compatibilidad ampliada con la configuración en tándem para la IP DMA en cola en dispositivos UltraScale+

Depuración y simulación

  • Compatibilidad con Vivado XSIM VCD para usuarios de SystemC
  • Se agregó compatibilidad con archivos STAPL para dispositivos UltraScale+
  • Actualizaciones de compatibilidad con simuladores de terceros

Novedades: lo más destacado de la versión 2023.1

  • Mejora del promedio de QoR del 8 % para los SoC adaptables Versal™ y del 13 % para las FPGA UltraScale+ que utilizan ejecuciones de diseño inteligente*
  • Power Design Manager (PDM) ahora forma parte del instalador unificado
  • Se agregó compatibilidad para dispositivos Versal HBM en PDM
  • Compatibilidad ampliada de múltiples procesos a fin de realizar la generación de secuencia binaria para dispositivos Versal
  • Mejora en la RQA (Report QoR Assessment, evaluación de la QoR de informes)

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2023.1.

Dispositivos que están listos para la producción

  • Dispositivos Versal AI Core: XQVC1702 

  • Compatibilidad de cobertura de código
  • Herramientas de simulación actualizadas para herramientas de terceros
  • Compatibilidad mejorada para flujo de simulación de exportación 

  • Generación de secuencia binaria a través de múltiples subprocesos: compatibilidad ampliada para Versal
  • Procesamiento flexible MARK_DEBUG durante PnR
  • Nuevas optimizaciones físicas posteriores a la colocación
  • Compatibilidad con VHDL-2019 

  • Mejoras de IDR (Intelligent Design Run, ejecución de diseño inteligente) para diseños Versal y UltraScale+
  • Mejoras en la evaluación de QoR de informes (RQA)

  • BSCAN Fallback para AXI Debug Hub para Versal
  • Compatibilidad de depuración DFX para flujo de “inserción”: Versal 

Subsistemas PCIe

  • Controladores de host CPM5 x86 para Linux y DPDK
  • Rendimiento mejorado en QDMA v5.0

Conexión con cable

  • Producción de DCMAC, HSC, QSGMII en Versal Premium
  • Versal 400G RS-FEC con Interlaken duro en MRMAC FEC

Conexión inalámbrica

  • IP DFE de RFSoC: nueva IP de FT PRACH, IP de PRACH actualizada para multibanda, herramienta de evaluación, mejoras de EoU
  • Reducción de recursos ORAN-PL para celda macro/pequeña
  • Mejora de compatibilidad multibanda

Memoria

  • Versal HBMZE acceso público
  • Simulación del sistema C HBM2E

Infraestructura integrada, asistentes GT

  •  Habilitación ECC en CAN blando y AXI Stream FIFO

Multimedia

  • DisplayPort 2.1 Tx
  • Cumplimiento HDMI 2.1 en ZU+
  • Mejoras de IP MPI CSI RX e IP DSP
  • Nuevo diseño de ejemplo de MIPI CSI -2 RX en VEK280
  • VDU de acceso general 

Notas al pie:
* Pruebas realizadas por el equipo de ingeniería de Vivado al 26 de marzo del 2023 en 45 diseños de cliente para Versal utilizando la herramienta de software Vivado ML versión 2023.1 ejecutándose con el modo IDR (ejecución de diseño inteligente) y sin este (modo predeterminado). Los resultados reflejan una única ejecución de prueba de todos los diseños, diferencias calculadas y promediadas. Los resultados reales variarán debido a factores como el diseño específico, la configuración del sistema y las versiones de software. VIV-003
* Pruebas realizadas por el equipo de ingeniería de Vivado al 14 de abril del 2023 en 50 diseños de clientes para UltraScale+ en el software Vivado ML 2023.1 en modo IDR y sin este (modo predeterminado).    Los resultados reflejan una única ejecución de prueba de todos los diseños, diferencias calculadas y promediadas. Los resultados reales variarán debido a factores como el diseño específico, la configuración del sistema y las versiones de software. VIV-004

Novedades: lo más destacado de la versión 2022.2

  • Presentamos Power Design Manager para SoC adaptable Versal™ y SoM Kria™
  • La ejecución de diseño inteligente ahora compatible con dispositivos Versal muestra una mejora promedio del 5 % de QoR sobre la Explore Strategy*
  • Velocidad de compilación 1,4 veces mayor para diseños de arquitectura UltraScale+™ con flujo de compilación incremental**
  • Shell abstracta para DFX ahora compatible con dispositivos Versal y en modo proyecto
  • Compatibilidad con DFX habilitada para dispositivos SSI Versal Premium

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2022.2.

  • Dispositivos habilitados en la edición Enterprise de Vivado ML 
    • Serie Versal™ Premium: XCVP1702, XCVP1802 y XCVP1102
  • Dispositivos habilitados en las ediciones Standard y Enterprise 
    • SoM Kria™: XCK24
  • Dispositivos que están listos para la producción
    • Serie Versal Premium: XCVP1202
    • Serie Versal Prime: XCVM1502
    • Serie Versal AI Core: XCVC1702 y XCVC1502

  • Reducción del 25 % en el espacio máximo de instalación del disco

​Infraestructura e integración

  • IP suave de EPU (Endpoint Protection Unit, unidad de protección de puntos de conexión) para proteger los agentes AXI que residen en el PL​

Almacenamiento

  • La ERNIC (Embedded RDMA enabled NIC, NIC habilitada para RDMA integrada) ahora admite hasta 2000 QP (Queue Pairs, pares de colas)

Asistente de GT (Gigabit Transceiver, transceptor de gigabits)

  • Los GTM Versal ahora admiten el cambio de velocidad entre la densidad media y la densidad completa 
  • 16 configuraciones para Versal GTY/GTYP (limitado a la capacidad interna de BRAM)

Conexión con cable

  • Subsistemas de MAC de Ethernet de velocidad múltiple (MRMAC) de 100 G 
    • Compatibilidad habilitada para carril serial 106G de Ethernet de 100 G
  • Subsistema de MAC de Ethernet de velocidad múltiple (DCMAC) de 600 G 
    • Compatibilidad habilitada para 106G serial por carril de 100 GE, 200 GE y 400 GE 
  • Aurora 64B/66B 
    • Se agregó compatibilidad para 16 carriles de GTYP o GTM (Gigabit Transceiver Module, módulo de transceptor de gigabits) en Versal Premium 

Conexión inalámbrica

  • Actualización de IP DFE de RFSoC Zynq™: Filtro de canal y uso compartido de UL/DL DUC-DDC 
  • Actualización de DFE DPD de RFSoC Zynq: Reducción de recursos de PL 
  • DFE O-RU TRD de RFSoC Zynq: Actualizado con bajo procesamiento de PHY solamente

Subsistemas PCIe® 

  • Controladores de host CPM5 x86 para Linux y DPDK en versión pública en GitHub 
  • Diseño de simulación de Versal CPM5 PCIe BMD (de la tienda CED) 
  • Diseño de Versal CPM Tandem PCIe (de la tienda CED) 
  • Mejora del rendimiento/utilización de recursos de QDMA v5.0 

Multimedia 

  • Habilitación de Versal AI Edge de IP suaves y VDU (Video Decoder Unit, unidad de decodificador de video)
  • IP de Warp Processor en producción
  • Habilitación de la solución multimedia ultra HD 8K para 
    • HDMI 2.1
    • IP de Video Mixer 

  • Compatibilidad con AXI Streaming NoC MxN en el integrador de IP 
  • Nueva función de reasignación de direcciones
  • Vivado para la comprobación de sintaxis predeterminada
  • Visualización de ruta de dirección
  • Formato de XML a JSON para archivos XCI

  • Compatibilidad para System Verilog “Interface Class”
  • Compatibilidad de depuración para el tipo de referencia de objetos System Verilog a través del comando tcl y la ventana de objeto
  • Compatibilidad con VHDL-2008

  • Compatibilidad para depuradores de PCIe en nuevas arquitecturas Versal
    • VP1502
    • VP1702
    • VP1802
  • Compatibilidad para depuradores HBM2E en dispositivos Versal HBM
  • Compatibilidad con IBERT (Integrated Bit Error Ratio Tester, Probador Integrado de Ratio de Error de Bit) en las nuevas arquitecturas Versal
    • VP1502
    • VP1702
    • VP1802

  • Optimización de QoR para redes de alto fan-out  
  • Replicación de posicionador para bloques IP duros 
  • Dos nuevas restricciones de particionamiento para diseños SSI  
  • Opción de descomposición LUT para reducir la congestión 
  • Implementación incremental habilitada para dispositivos Versal monolíticos 
  • Compatibilidad con flujo ECO (Engineering Change Order, orden de cambio de ingeniería) para dispositivos Versal 

  • Nuevo contenido agregado al informe de evaluación de QoR
  • Mejora promedio del 5 % de QoR para los diseños Versal cuando se habilita la ejecución de diseño inteligente 

  • Compatibilidad DFX para dispositivos SSI 
  • Compatibilidad de shell abstracta para dispositivos Versal Premium y Versal HBM 
  • Compatibilidad de shell abstracta para el modo basado en proyectos 

Notas al pie:
* Las mediciones fueron realizadas por el equipo de ingeniería de Vivado al 1 de octubre del 2022 en 48 diseños de clientes para Versal. La comparación es del peor margen de demora negativo (WNS) en Explore Strategy frente al diseño inteligente en la herramienta de software Vivado ML 2022.2. La mejora real de los sistemas comerciales puede variar en función de factores como el hardware del sistema, las versiones del software y los controladores, y la configuración del BIOS. 
** Las mediciones fueron realizadas por el equipo de ingeniería de Vivado al 1 de octubre del 2022 en 68 diseños que comparan la compilación predeterminada frente a la incremental en la herramienta de software Vivado ML 2022.2.  Seis comparaciones atípicas superiores a seis veces se descartaron para proporcionar un promedio de rendimiento más representativo. Un 5 % del diseño compilado incrementalmente para la comparación. La mejora real de los sistemas comerciales puede variar en función de factores como el hardware del sistema, las versiones del software y los controladores, y la configuración del BIOS. 

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2022.1.

Los siguientes dispositivos se habilitaron en la edición Enterprise de Vivado ML

  • Serie Versal AI Core de nivel de defensa militar: XQVC1902
  • Serie Versal AI Core de nivel aeroespacial: XQRVC1902
  • Serie Versal AI Core: XCVC1702 y XCVC1502
  • Serie Versal AI Edge: XCVE1752
  • Serie Versal Prime de nivel de defensa militar: XQVM1802
  • Serie Versal Prime: XCVM1402, XCVM1302 y XCVM1502
  • Serie Versal Premium: XCVP1202

Los siguientes dispositivos se habilitaron tanto en la edición estándar como en la edición Enterprise

  • Artix UltraScale+: XCAU15P y XCAU10P
  • MPSoC Zynq UltraScale+: XAZU1EG

Conexión con cable

  • Compatibilidad con Versal Premium:
    • Subsistema Ethernet de 600 G
    • 600G Interlaken con subsistema RS-FEC
    • Subsistema HSC (High Speed Crypto Engine, Motor criptográfico de alta velocidad)
    • Aurora 64B/66B NRZ GTM
    • JESD204C 64B/66B GTM
  • Aurora 8B/10B compatible con GTH de Artix UltraScale+
  • Valor predeterminado GTM 64G Ethernet PAM4 disponible
  • Valor predeterminado GTM XSR (Extra Short Range, rango extracorto) disponible

  • Estimación de recursos basada en ML
  • Formato más simple para el control de revisión de usuario
  • Mejora de referencia del módulo
    • Se agregó el diseño de bloques como referencia de módulo en otro BD
  • La automatización de bloques CIPS ahora es compatible con DDR y LPDDR simultáneamente
  • Versal Hardblock Planner en producción en 2022.1

  • Partición en agregados: VHDL 2008
  • Nombre de la unidad de diseño para SystemC en la ventana de alcance

  • Conciencia de infracción de la metodología de diseño
    • Advertencias emergentes al abrir un diseño con infracciones
  • Informe interactivo de evaluación de QoR
    • Informe de evaluación de QoR (RQA) que se muestra en las ejecuciones de diseño
  • Funciones de cierre de temporización de fácil acceso en los proyectos
    • Versal ahora tiene estrategias de ML y ejecuciones de diseño inteligente
  • Flujo automático de sugerencias de QoR
    • Úsalo al iterar diseños con plazos difíciles de cumplir
  • Mejoras integrales de QoR de Versal en Vivado
    • Mejora de QoR promedio del 5 al 8 %

  • Compatibilidad con depuradores IBERT y PCIe para Versal H10
  • Compatibilidad para activadores en el inicio con la calificación Versal ILA y Storage
  • Mejoras de ChipScoPy

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2021.2.

Los siguientes dispositivos se habilitaron tanto en la edición Enterprise como en la edición estándar de Vivado ML​

  • Dispositivos Artix UltraScale+: XCAU20P y XCAU25P

Mejoras de temporización y QoR:

  • Brinda asistencia para que los usuarios ingresen restricciones de rendimiento de alto nivel
  • Mejora la precisión de la estimación de tiempo de HLS (High-Level Synthesis, síntesis de alto nivel): Cuando HLS informa el cierre de la sincronización, la síntesis de RTL en Vivado también debe esperar cumplir con la sincronización.

Mejoras de facilidad de uso

Agrega el informe de adaptadores de interfaz en los informes de síntesis de C:

  • Los usuarios necesitan conocer el impacto en los recursos que tienen los adaptadores de interfaz en su diseño.
  • Los adaptadores de interfaz tienen propiedades variables que impactan en la QoR del diseño.
  • Algunas de estas propiedades tienen controles de usuario asociados que se deben reportar a los usuarios.
  • Se proporcionan versiones de texto de los informes bind_op y bind_storage.

Análisis y presentación de informes

El visor de gráfico de llamada a función tiene algunas características nuevas:

  • Nueva compatibilidad para acercar o alejar basada en el arrastre del mouse.
  • Nueva función de visión general que muestra el gráfico completo y permite al usuario acercar partes del gráfico general.
  • Todas las funciones y todos los bucles se muestran junto con sus datos de simulación.

Un nuevo visor de rastreo de línea de tiempo está ahora disponible después de la simulación. Este visor muestra el perfil de tiempo de ejecución de tu diseño y permite al usuario permanecer en la GUI de Vitis HLS.

  • GTM en Versal Premium compatible con valor predeterminado 600G Interlaken
  • GTM en Versal Premium compatible con valor predeterminado 100 GE
  • Nueva Versal Premium compatible con simulación 600G Interlaken integrado
  • La IP EPC ahora es compatible en dispositivos Versal
  • La memoria XPM y XPM FIFO ahora admiten el modo de RAM mixto,​
    usando ram_style = “mixed”
  • La IP Lossless Compression ahora es compatible con un modo de descompresión mejorado, duplicando el rendimiento por un costo de​ LUT adicional
  • Se agregó compatibilidad de subsistemas PCIe para FPGA Artix UltraScale+
  • Se amplió la compatibilidad de dispositivos de subsistemas PCIe para SoC adaptables Versal

Ejecuciones de diseño inteligente (IDR)

  • Contenido mejorado de los informes: 
    • Se eliminaron entradas de tablas irrelevantes y enlaces inactivos
    • Se agregaron estadísticas de diseño para todas las etapas
  • Generación de secuencia binaria disponible como una selección de menú con clic derecho
  • Finalización de ejecuciones disponibles como una selección de menú con clic derecho

Predicción de directivas de posicionador basada en ML

  • Se predicen hasta tres directivas de posicionador de mejor rendimiento en el tiempo de ejecución de place_design
  • Utiliza la opción de directiva place_design con valores: Auto_1, Auto_2 y Auto_3

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2021.1

  • Serie Versal™ AI Core: - XCVC1902 y XCVC1802​
  • Serie Versal Prime: - XCVM1802​
  • Dispositivo Virtex™ UltraScale+™ HBM: XCVU57P

  • Versión Flexlm actualizada a 11.17.2.0
    • Compatible con versiones de 64 bits de Linux y Windows solamente
    • El cliente que utilice una licencia flotante debe actualizar las utilidades de licencia a Flexlm 11.17.2.0​

  • Block Design Container
    • 2021.1 es la versión de producción para contenedores de diseño de bloques.
    • Permite la reutilización en el diseño modular
    • Permite diseños basados en equipo
    • Permite el flujo DFX en el modo proyecto
    • Capacidad a fin de especificar variantes para simulación y síntesis
    • Administración de direcciones para BDC (Block Design Containers, contenedores de diseño de bloques) desde el BD de nivel superior
       
  • Vivado Store​
    • Descarga tableros y diseños de ejemplo de GitHub​
    • Los socios de placas de terceros pueden contribuir a estos repositorios de forma asíncrona a las versiones de Vivado
       
  • Mejoras en el control de revisión de IP/IPI
    • Migración de proyectos antiguos de Vivado a una nueva estructura de directorios
       
  • CIPS 3.0​
    • Nueva arquitectura IP de CIPS a un modelo jerárquico
    • Nueva interfaz de usuario modular

  • Editor de texto de Vivado: back-end de Sigasi
    • Servidor de protocolo de idioma compatible con:​
      • Función autocompletar​
      • Ir a definición/Buscar usos
      • Información sobre herramientas
      • Sangría (rango solo en VHDL)​
      • Errores y advertencias de sintaxis al escribir
      • Plegado de código​
      • Resaltado semántico

  • IPI Designer Assistance para CIPS y NoC​
    • Permite la automatización de bloques intuitiva para conectividad NoC y CIPS
    • Permite crear diseños más fáciles que acceden a toda la memoria disponible conectada al dispositivo o a la placa, por ejemplo, DDR y LPDDR

  • Asignación DDR no potencia de dos a través de interconexión
    • IPI ahora es compatible con asignaciones de direcciones NPOT (non-power-of-2, no potencia de dos) a través de rutas de direcciones con una o más IP​ SmartConnect

  • Mejoras del empaquetador de IP
    • Mejoras en la experiencia del cliente del empaquetador
      • Conectividad de interfaces personalizadas en IPI/IP​ personalizada
      • Memoria XPM en el empaquetador
      • Posibilidad de etiquetar archivos como SV o VHDL-2008 en el empaquetador para empaquetar una IP desde un directorio
    • Versión de producción para RTL IP empaquetado como kernel Vitis
      • DRC específicos del kernel dentro del empaquetador de IP
      • Facilidad de uso
      • Conservación de metadatos en estas IP empaquetadas para el uso del kernel de Vitis
  • Mejoras de IP: Centro de datos​​
    • Subsistemas PCIe
      • Compatibilidad de acceso anticipado para CPM5, PL PCIe5 y GTYP en Versal Premium​
      • Compatibilidad CPM4 en la IP de verificación (VIP) de Versal CIPS para simulación
    • Presentamos la IP CAM ​algorítmica
      • EA para dispositivos US+
    • Mejora de IIC (Inter-Integrated Circuit, circuito inter-integrado) AXI a la función del modo de lectura dinámica
    • Compatibilidad SmartConnect para rangos de direcciones no potencia de dos​
    • Versión y documentación de la API de la biblioteca XilSEM en UG643​
    • Adiciones de compatibilidad de dispositivos de núcleos IP SEM para dispositivos US+
  • Mejoras de IP: video e imagen​
    • IP de interfaz de video e imagen
      • El subsistema CSI TX agrega compatibilidad para YUV422 de 10 bits
      • DisplayPort Subsystem agrega compatibilidad para la función de repetidor HDCP2.2/2.3
      • HDMI 2.1 (acceso controlado) agrega compatibilidad para HDR dinámico y funciones de experiencia de juego mejoradas (VRR, FVA, QMS y ALLM)
    • Nueva IP: Warp Processor para manipular imágenes digitalmente
      • Compatible con distorsiones de piedra angular, cilindro, almohadilla y arbitraria
      • Escalamiento: 0,5, una y dos veces; rotación: De -90 a +90 grados
      • Resoluciones desde 320 x 240 hasta 3840 x 2160, con compatibilidad multicanal
      • Entrada y salida: 8/10/12 bpc YUV, RGB
  • Mejoras de IP: conexión con cable
    • Subsistema Ethernet de velocidad múltiple de 100 G (MRMAC)
      • NRZ GTM de Ethernet de 10 G/25 G/40 G/50 G/100 G
      • Ethernet MRMAC de 25 G a 1LP​

  • Mejoras de IP: conexión inalámbrica
    • O-RAN​
      • Función de compresión/descompresión estática/dinámica en el núcleo IP (BFP + modulación)
      • Nueva interfaz para admitir la información de extensión de sección LTE tipo 3 e introducir un bloque de precodificación LTE externo a través de una interfaz única
      • Compatibilidad para la asignación de ID de haz por ranura (además del método existente por símbolo)​
      • Compatibilidad para mensajes de sección DL tipo 3
      • Tipo de sección 0 agregado al puerto PDxCH BID
      • El tamaño máximo del paquete Ethernet aumentó a 16 000 bytes (compatibilidad para tramas jumbo de 9600 bytes)​
  •  Mejoras de IP: almacenamiento​​
    • NVMeHA ahora es compatible con dispositivos Versal y VU23P
    • NVMeTC ahora es compatible con dispositivos Versal y VU23P
    • ERNIC ahora es compatible con Versal​
      • Conexión nativa a la MRMAC​
    • AES-XTS disponible solo por pedido especial
  • Mejoras de IP XPM
    • XPM_Memory y EMG ahora admiten todos los tamaños de URAM
    • XPM_Memory y EMG ahora admiten combinaciones de RAM mixta
      • Utiliza ram_style = “mixed”​ 
    • XPM_Memory y XPM_FIFO permiten deshabilitar las aserciones para una compatibilidad de simulación más amplia
      •  Se agregó la definición DISABLE_XPM_ASSERTIONS
  • Mejoras de IP: asistente de GT 
    • Producción de asistente Versal GTY
    • Asistente Versal GTY disponible como EA
    • Asistente GTM Versal como EA    

  • Vitis HLS 2021.1: compatibilidad Versal de producción
  • Calibración de temporización Versal y nuevos controles para las operaciones de punto flotante del bloque nativo DSP (Digital Signal Processing, procesamiento de señales digitales)
  • Opción de canalización descargable con lógica de fan-out más baja (canalización de ejecución libre, también conocida como frp)
  • Algoritmo de particionamiento automático de memoria mejorado y nueva opción config_array_partition
  • Nuevo “Flow Navigator” en la GUI y vistas fusionadas para síntesis, análisis y depuración
  • Compatibilidad de kernel de streaming “eterno” del flujo de Vitis para sobrecarga de bajo tiempo de ejecución
  • Visor de gráfico de llamada a función con mapa de calor para utilización de II, latencia y DSP/BRAM
  • Nueva sección de informe de síntesis para BIND_OP y BIND_STORAGE​
  • Mejora del manejo de pragma basado en datos para una mayor coherencia​
  • Informe Vivado y nuevos widgets de IP de exportación para transmitir opciones a Vivado​
  • Nuevo informe de texto después de la síntesis de C para reflejar la información de la GUI

Integración del modelo de ML

  • Modelos de aprendizaje automático para predecir y seleccionar optimizaciones
    • Aumento del 30 % de la velocidad de compilación para diseños de Versal

Nuevas funciones de síntesis​ ​

  • XPM_MEMORY admite asignación de RAM heterogénea
    • Matriz de memoria asignada utilizando todos los tipos de recursos de dispositivo: UltraRAM, Block RAM y LUTRAM​
    • Uso más eficiente de todos los recursos
    • Utiliza el parámetro o genérico: MEMORY_PRIMITIVE(“mixed”)​
    • No compatible con WRITE_MODE = NO_CHANGE​
    • VHDL-2008: nueva compatibilidad para la función to_string()
    • El informe de registro incluye anulaciones RTL de los genéricos y parámetros de IP

Modelos de aprendizaje automático en la implementación

  • Predicen la congestión del enrutamiento y los retrasos de las rutas
  • Mejor correlación entre la estimación basada en la colocación y el enrutamiento real de una mejor Fmax y reducción de los tiempos de compilación

opt_design -resynth_remap​

  • Nuevas optimizaciones de resíntesis de cono lógico impulsadas por tiempo que reducen los niveles​ lógicos

Resincroniza manualmente LUT y registros durante la colocación con propiedades XDC

  • PSIP_RETIMING_BACKWARD​
  • PSIP_RETIMING_FORWARD

Nuevas características para dispositivos Versal

  • La alineación de señales de reloj calibrada ajusta los toques de retardo de la red de reloj antes del arranque del dispositivo para minimizar aún más la desviación
  • La inserción automática de canalización mejora la velocidad de reloj en las rutas…
    • Entre PL y NoC y entre motores de PL e IA
    • Disponible tanto desde la IP de AXI Regslice como mediante el uso de propiedades de canalización automática
    • Agrega latencia a rutas canalizadas
  • Canalizaciones elásticas de primitivos de registro de cambio (SRL)
    • Las canalizaciones se crean alrededor de un SRL que contiene el exceso de etapas de canalización
    • El posicionador crea la canalización ideal según la ubicación de origen y destino
    • Las etapas se pueden extraer del SRL para cubrir una distancia​ más amplia
    • Las etapas son absorbidas por el SRL a fin de reducir la canalización para distancias más cortas
    • Conserva la latencia en las rutas canalizadas

Ejecución de diseño inteligente:

  • La ejecución de diseño inteligente (IDR) crea acceso a un nuevo y potente flujo de cierre de temporización automatizado
    • report_qor_suggestions​
    • Predicción de estrategia de ML
    • Compilación​ incremental
  • Está disponible en proyectos Vivado y se inicia mediante una selección de menú con clic derecho de una ejecución de implementación con un error de temporización. El panel de informes de IDR detalla el progreso del flujo y proporciona hipervínculos a los informes relacionados.​ Una excelente opción para usuarios con dificultades en el cierre de temporización​
    • Aumento promedio de QoR >10 %

Mejoras en las sugerencias de QoR de informes (RQS)

  • Sugerencias de QoR para DFX
    • Sugerencias dadas solo en módulos DFX cuando el modo estático está bloqueado
    • No hay sugerencias que alteren los límites de DFX
    • Sugerencias de síntesis correctamente enfocadas a ejecuciones globales o fuera de contexto
  • Evaluación incluida en el informe interactivo de la GUI report_qor_suggestions (RQS)

Infracciones de metodología en informes de temporización

  • Los informes de temporización ahora incluyen un resumen de la metodología de informe
    • Destaca las infracciones de metodología
    • Las infracciones de metodología desatendidas pueden causar fallas de temporización
  • Incluye el resumen de las infracciones de metodología de la última ejecución de report_methodology
    • Resumen de infracciones de metodología almacenado con punto de control de diseño

Nuevas funciones de informes de restricciones

  • report_constant_path: nuevo comando para identificar la fuente de valores lógicos constantes observados en celdas y pines​
    • report_constant_path <pins_or_cells_objects>​
    • report_constant_path -of_objects [get_constant_path <pins_or_cells_objects>]

DFX para Versal

  • Flujos DFX de Versal disponibles con estado de producción
    • Compila diseños DFX de diseños de bloques para la creación​ de imágenes de dispositivos
    • Utiliza los BDC (contenedores de diseño de bloques) IPI de Vivado para crear diseños DFX de Versal
  • Utiliza la IP DFX en Versal al igual que con UltraScale y UltraScale+​
    • IP de separador DFX, IP de DFX AXI Shutdown Manager para aislar interfaces​ que no sean NoC
  • Toda lógica programable es parcialmente reconfigurable​
    • De NoC a relojes y bloques duros
  • Compatibilidad con el intercambio de funciones dinámicas de la gama completa de AIE
    • Compatible a través de los flujos de la plataforma Vitis

BDC para DFX

  • Lanzamiento de BDC (contenedores de diseño de bloques) para DFX en el integrador de IP
    • Compatibilidad con todas las arquitecturas fundamentales para Versal​
  • Coloca un diseño de bloque dentro de un diseño de bloque para crear y procesar diseños DFX
    • UG947 muestra tutoriales de IPI BDC para dispositivos Zynq UltraScale+ y Versal
    • Más tutoriales de DFX que se publicarán en GitHub

Flujo de Classic SoC Boot con DFX​

  • Flujo de Classic SoC Boot disponible para diseños Versal
    • Permite a los usuarios iniciar rápidamente el subsistema de procesamiento basado en DDR y la memoria para ejecutar Linux antes de cargar la lógica programable
    • Separa los eventos de programación en Versal para emular el flujo de inicio de Zynq
    • Generación Auto-Pblock utilizada en este flujo
    • No es compatible con CPM

Configuración en tándem de Versal para CPM4

  • Compatibilidad de PROM y PCIe® en tándem para CPM4
  • Los usuarios que requieren una configuración de 120 ms de un punto de conexión PCIe ahora tienen una opción en
    la GUI de personalización de CIPS para seleccionar el modo de configuración en tándem
    • PROM en tándem: carga ambas etapas desde la memoria flash​
    • PCIe en tándem: carga la etapa 1 desde la memoria flash
      y la etapa 2 desde un enlace PCIe a través de DMA​
    • Ninguno: inicio estándar

Compatibilidad de shell abstracta para diseños de Nested DFX (DFX anidado) en UltraScale+

  • Subdivide la RP (Reconfigurable Partition, partición reconfigurable) en varias RP anidadas usando Nested DFX (DFX anidado) (pr_subdivide)​
  • Crea una shell abstracta para cada RP anidada (write_abstract_shell)​
  • Acelera la implementación de cada RP anidada utilizando su shell abstracta

  • Mejoras de VHDL-2008
    • Matrices sin restricciones
    • Operadores condicionales
    • Operadores de reducción unarios
       
  • Compatibilidad de cobertura de código
    • Compatibilidad con el comando write_xsim_coverage para escribir una base de datos de cobertura intermedia

Módulo SmartLynq+

  • Optimizado para el HSDP (High-Speed Debug Port, puerto de depuración de alta velocidad) de Versal
    • Programación de dispositivos y acceso a memoria más rápidos
    • Carga y descarga de datos de alta velocidad
    • Almacenamiento de datos: 14 GB de memoria DDR en el módulo
  • Compatibilidad con el HSDP (puerto de depuración de alta velocidad)
    • Compatibilidad para la conexión al HSDP basado en Aurora a través de un conector USB-C
  • PC4 y JTAG basado en USB
  • Compatibilidad con UART (Universal Asynchronous Receiver-Transmitter, transmisor-receptor asíncrono universal) serial

ChipScopy

  • API de Python de código abierto para ChipScope​
    • Controla y comunícate con dispositivos Versal y núcleos de depuración
    • No es necesario usar Vivado, solo necesitas un PDI/LTX​
    • Beneficios
      • Construye interfaces​ de depuración personalizadas
      • Interfaz con ecosistema Python

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2020.2.

  • Serie Versal AI Core: XCVC1902 y XCVC1802
  • Serie Versal Prime: XCVM1802
  • RFSoC Zynq UltraScale+: XCZU43DR, XCZU46DR, XCZU47DR, XCZU48DR y XCZU49DR

  • PetaLinux ahora es parte del instalador AMD Unified, además de la oferta de instalación independiente actual.

  • Mejoras de control de revisión
    • Nueva estructura de directorios que separa las fuentes de los productos de salida
    • Los productos de salida BD/IP ya no se colocan en el directorio project.srcs.
    • Todos los productos de salida residen en el directorio project.gen paralelo a project.srcs.

  • Mejoras en el mapa de direcciones
    • Vista gráfica del mapa de direcciones en HTML

  • Mejoras en la creación de la plataforma Vitis
    • Capacidad para identificar un proyecto Vivado como un proyecto de plataforma extensible durante la creación y la configuración del proyecto
    • Agrega nuevos DRC de validación de interfaz de plataforma
    • Ejecuta DRC de plataforma durante la validación de BD de la plataforma
    • Nueva GUI​ de configuración de plataforma

  • Mejoras de almacenamiento en caché de IP
    • Capacidad para crear y usar cachés IP comprimidas de solo lectura
    • Se puede señalar la caché comprimida y no es necesario descomprimirla

  • Contenedor de diseño de bloques
    • Crea una instancia de BD dentro de otro BD​

  • CIPS (Control, Interfaces and Processing System): Versal
    • Diseños de ejemplo en tiendas xHUB: Versal

Centro de datos

  • Subsistema DMA en cola (QDMA) para expansión de compatibilidad de dispositivos PCI Express
    • Dispositivos Gen3x8 en UltraScale+ “-2LV”
    • Dispositivo Gen4x8 en Virtex UltraScale+ VU23P “-2LV”

  • Subsistemas de SoC adaptable Versal para PCI Express dirigidos a bloques integrados GTY, PL PCIe4 y CPM4
    • Bloque integrado para PCI Express (GTY + PL PCIe4)
    • DMA y subsistema de puente para PCI Express (GTY + PL PCIe4 + Soft QDMA, XDMA, AXI-Bridge)
    • Modo CPM para PCI Express (GTY + CPM4)
    • CPM DMA y modo puente para PCI Express (GTY + CPM4 + Hard QDMA, XDMA, AXI-Bridge)
    • PHY para PCI Express (GTY)

Video e imagen

  • MIPI
    • Las tasas de DPHY en dispositivos Versal aumentaron: 3200 Mb/s en dispositivos -2 y -3, 3000 Mb/s en dispositivos -1
    • Se agregó compatibilidad de salida YUV420 para el núcleo CSI RX

  • Subsistemas DisplayPort 1.4
    • Compatibilidad YUV420, sincronización adaptable, HDR estático
    • Opción IP eDP en acceso general

  • Subsistemas SDI
    • Compatibilidad HLG HDR
    • Diseño de ejemplo de Versal VCK190 pass thru
  • HDMI 2.0 agrega compatibilidad para HDCP 2.3

Conexión con cable e inalámbrica

  • JESD204C producción completa
  • Nuevo 200G RS-FEC para UltraScale+ y Versal
  • Ethernet de 1 G/10 G/25 G agrega compatibilidad de un paso y TSN
  • Versal MRMAC de un paso 1588 con marca de tiempo de hardware
  • Ethernet MRMAC de 10 G/25 G de dos pasos 1588 con compatibilidad de controlador Linux

Almacenamiento

  • Nuevas funciones ERNIC
    • optimizaciones de recursos para compatibilidad de ancho de banda sostenido de 100 G
    • compatibilidad para el nuevo dispositivo VU23P
    • Mejoras en el PFC (Priority Flow Control, control de flujo prioritario)

  • NVMeTC ahora es compatible con el nuevo dispositivo VU23P
  • IP Lossless Compression, algoritmos GZIP y ZLIB
  • Diseño de referencia NVMeOF ahora disponible para tarjetas SoC Alveo U50 y Bittware 250

General

  • XPM
    • XPM_CDC ya está disponible a través de IPI
    • Compatibilidad de inicialización URAM para Versal

  • Infraestructura e integración
    • Nuevas funciones de SmartConnect
      • Arbitraje prioritario
      • Modo de área baja

  • EMG (Embedded Memory Generator, generador de memoria integrado) en IPI para Versal, reemplazando a Block Memory Generator
  • EFG (Embedded FIFO Generator, generador FIFO integrado) en IPI para Versal, reemplazando a FIFO Generator

Asistentes:

  • Los asistentes ahora están disponibles para Versal
    • Asistente de transceptores GTY
    • Asistente avanzado de E/S
    • Clocking Wizard

  • Nuevas funciones del asistente de transceptor
    • Automatización de bloques completos, con selección de carril
    • Reconfiguración sobre la marcha (Versal solamente)
    • Uso compartido cuádruple (Versal solamente)
    • IP de puente de transceptor (Versal solamente)

  • Síntesis de alto nivel
    • Vitis HLS reemplaza a Vivado HLS en Vivado (ya estaba predeterminado para Vitis en v2020.1)
    • Se agregaron directivas de remodelación y particionamiento de matrices para los puertos superiores
    • Diseño de íconos de barra de herramientas simplificado con nuevas secciones de informes para interfaces y ráfagas AXI-4
    • Inferencia para acumulación de punto flotante de ciclo de reloj único en bloques DSP para Versal
    • Los archivos TCL pueden crear un proyecto y abrirlo directamente en la GUI (vitis_hls -p <file>.tcl)
    • Nuevo filtro de un solo clic para opciones no predeterminadas en “Configuración de la solución”→”General”
    • Pruebas aleatorias restringidas para interfaces AXI ahora visibles en la GUI
    • Opción de marcas RAM ECC de bloque en el chip a través del pragma bind_storage
    • Tamaño de profundidad FIFO interactivo en GUI durante la cosimulación
    • Compatibilidad para programación SIMD (tipos de datos vectoriales)

Complemento para Matlab y Simulink:

  • El instalador unificado proporciona Model Composer y System Generator en un iniciador

  • Compatibilidad con VHDL-2008
    • Operadores de desplazamiento (rol, ror, sll, srl, sla y sra)
    • Combinación de operadores lógicos escalares y de matriz
    • Asignaciones secuenciales condicionales en la señal
    • Generación de casos
    • Extensiones a expresiones globalmente estáticas y estáticas de forma local
    • Rangos estáticos y expresiones enteras en límites de rango

  • Compatibilidad para nombre jerárquico de lenguaje cruzado
    • El nombre jerárquico de Verilog estará habilitado para acceder a las señales VHDL desde los módulos SV/Verilog

  • Compatibilidad de simulador para Versal
    • Simulador de AMD
    • Simuladores de terceros
      • Cadence Xcelium
      • Mentor Graphics Questasim

  • Versal AXIS-ILA
  • Mejoras de flujo de depuración
  • Mejoras de automatización de bloques de depuración
  • Compatibilidad para seleccionar URAM y almacenamiento de seguimiento AXIS-ILA

  • Compatibilidad para el tipo de cadena System Verilog
  • Compatibilidad para paquetes de punto fijo y flotante en VHDL-2008
  • Canalización automática para RAM heterogéneas
  • La directiva de compactación lógica se extiende a las BÚSQUEDAS ANTICIPADAS de Versal

  • Mejoras de replicación de posicionador (PSIP)
  • Definición de riel de alimentación y análisis de potencia
  • Conversión de búfer global de BUFG a MBUFG (Versal)

  • Mejoras de RQA y RQS

  • Shell abstracta para el intercambio de funciones dinámicas
  • IDF (Isolation Design Flow, flujo de diseño de aislamiento) + DFX en un diseño

Novedades de Vivado ML por categoría

Amplía las secciones a continuación para obtener más información sobre las nuevas funciones y mejoras de Vivado™ ML 2020.1.

  • Descargar compatibilidad de verificación (recopilación y firma) para Windows
  • La función de solo descarga para el instalador web ahora admite dos opciones
    • Descargar imagen completa (todos los productos)
    • Descargar solo los productos seleccionados (tamaño más pequeño)

  • Nuevo diseño de ejemplo y utilidad de descarga de archivos de placa. Descarga solo lo que necesitas y obtén acceso a una amplia biblioteca de soluciones de AMD y de terceros en GitHub.
  • Diseños de ejemplo nuevos y mejorados disponibles mediante descarga

  • Presentación de nuevos conceptos de “ruta” y “red”
    • Mantiene un aspecto y una sensación familiares
  • Cross-probing (sondeo cruzado) completo con Address Editor (Editor de direcciones)
    • Resalta por rutas o redes
  • Resaltado de errores en tiempo real
    • La información sobre herramientas proporciona detalles de fallas
  •  Nuevo panel “Ruta de dirección”
    • Información detallada de la ruta
  • Nueva vista emocional de “Visualización de direccionamiento”
    • Simplificado para contenido direccionable solamente
    • Vista clara de conectividad de direccionamiento

Centro de datos

  • Mejoras de IP ERNIC
    • Se mejoraron el ancho de banda y la latencia para operar a velocidades de línea de 100 GE.
    • Mejorado para admitir direcciones de 64 bits. Ahora con nuevas funciones disponibles: Función PFC y comando inmediato.
  • Nueva IP AES, para aplicaciones de cifrado de centros de datos.
  • El nuevo controlador de destino IP de NVMe se une al acelerador de host para la aceleración del almacenamiento.
  • La solución llave en mano NVMeOF U50 de Alveo ya está disponible. Incluye un archivo de bits y documentación FPGA.
  • Revisión importante del subsistema DMA en cola para PCI Express (QDMA 4.0) a fin de mejorar la temporización, reducir la utilización de recursos y simplificar la migración de envío.

Conexión con cable/inalámbrica

  • Conexión inalámbrica
    • Se agregó compatibilidad JESD204C para GTH3/4: preproducción 2020.1
    • Nueva IP de interfaz de radio de ORAN que proporciona la función O-RU (unidad de radio O-RAN) con SRS/PRACH AXI-stream dedicado y 32 streams espaciales.
    • Nueva implementación IP de FEC de 400 G suave y opcional que utiliza US+ 58G GTM Hard 50G KP4 FEC para ahorrar área y energía.
  • Conexión con cable
    • AXI Ethernet agregó compatibilidad para SGMII conmutable y 1000BASE-X
    • El subsistema Ethernet de 50 G agregó NRZ FEC de 50 G “KP2” suave y opcional
    • El subsistema Ethernet de 100 G integrado agregó NRZ FEC de 100 G “KP4” suave y opcional

General

  • IP de firewall: protege las direcciones ascendentes o descendentes. Esta IP ayuda a aislar regiones en FPGA como servicio y otras aplicaciones.
  • La IP SmartConnect se optimizó para modos de área baja, también con funciones de acoplamiento y conversión 1 x 1.

IP de video e imagen

  • Los subsistemas SDI agregaron 12bpc y HFR en el modo de interfaz de video nativo
  • El subsistema de transmisión MIPI CSI agregó compatibilidad para los formatos de color raw16 y raw20
  • Video Mixer agregó opciones a fin de seleccionar soporte para la colorimetría BT.709 y BT.601
  • Los subsistemas HDMI 2.0 agregaron audio de 32 canales y compatibilidad con audio 3D

  • La capacidad de anular atributos HDL mediante restricciones XDC permite modificar el comportamiento de síntesis sin modificar el código fuente HDL.
  • Reutiliza e integra diseños de diferentes lenguajes en paso genérico y de parámetros mejorados entre diferentes lenguajes en el mismo diseño.
  • El rendimiento de la herramienta se mejora significativamente cuando se manejan llamadas a funciones. Se hicieron mejoras en todos los idiomas.
  • Una nueva directiva llamada compactación lógica implementa funciones aritméticas de menor precisión utilizando recursos lógicos mínimos.
  • El mapeo de la memoria se mejora significativamente mediante el equilibrio de las matrices sobre diferentes tipos de recursos para evitar una alta utilización de un tipo de recurso en particular.

Intercambio de funciones dinámicas (DFX)​

  • Nested DFX (DFX anidado) permite a los usuarios colocar una o más regiones dinámicas dentro de una región dinámica para ampliar aún más la flexibilidad de DFX
    • Compatibilidad con UltraScale y UltraScale+
    • Estado de producción, sin compatibilidad de proyecto
  • Beneficios
    • Verificación más simple
    • Tiempo de actividad de la tarjeta del centro de datos
    • Granularidad más fina
  • Todas las IP existentes para la reconfiguración parcial fueron reemplazadas por una IP equivalente con terminología de intercambio de funciones dinámicas
    • Las IP son funcionalmente equivalentes a sus predecesoras y se actualizan fácilmente de PR a DFX

Flujo de diseño de implementación

  • Los Pblocks ahora son de tipo BLANDO de manera predeterminada
  • La única excepción: Los Pblocks DFX tienen límites duros por definición y no pueden volverse BLANDOS
  • Beneficio
    • La colocación de la celda fuera de los límites de Pblock puede mejorar el rendimiento del diseño (longitud de cable más corta, menos congestión)

Análisis de diseño y cierre de temporización

  • Las sugerencias de QoR de informe predicen hasta tres estrategias personalizadas para un mejor rendimiento
    • Se realizan predicciones para dar mejores resultados que el valor predeterminado y Performance_Explore
    • Ahorra tiempo y esfuerzo de compilación para limpiar muchas estrategias.
    • Ejecuta report_qor_assessment (RQA) para comprobar si el diseño es compatible con la predicción estratégica.
  • El informe report_ram_utilization se revisó completamente para proporcionar información relevante.
    • Haz compensaciones de recursos de memoria
    • Identifica DRAM ineficientes
    • Consulta las optimizaciones de post opt
    • Rendimiento/Cuellos de botella de potencia

Análisis de potencia

  • Vivado ahora admite la presentación de informes por riel de alimentación
    • En los informes de potencia, se calculan los presupuestos actuales totales frente a los actuales en los rieles y en los suministros
    • Las definiciones de riel de alimentación se incluyen en los archivos de la placa
  • Ahora se ofrecen informes de rieles para Alveo U50