Points forts de la version 2025.1 du software AMD Vivado™ :

Programme d'installation d’appareils sélectif unifié pour tous les appareils Versal

  • Réduit considérablement la taille du téléchargement de Vivado par rapport aux versions précédentes
  • Permet aux utilisateurs de sélectionner un ou plusieurs appareils, au lieu d'une série entière lors de l'installation de Vivado Design Suite

Améliorations transversales de la qualité des résultats Versal

  • Calibration du redressement : Option permettant d'activer la compensation d'inclinaison étalonnée afin de minimiser les divergences locales et globales pour les appareils Versal SSIT uniquement ​​
  • Prise en charge NOC multi-phase : Découpage temporel des exigences en matière de qualité de service et de bande passante afin de maximiser les performances du NoC

Démarrage flexible du système de traitement des appareils Versal

  • Démarrez d'abord le système de traitement, puis chargez dynamiquement la logique programmable à la volée
  • Accès public pour tous les appareils Versal de production
  • Flux par défaut pour les appareils série Versal Prime Gen2 et série Versal AI Edge Gen2

Poursuite de l'activation des flux RTL

  • Nouvelle IP du commutateur AXI : Une IP RTL entièrement personnalisable qui sert de pont entre les différents types et largeurs d'interface AXI

Améliorations de la facilité d'utilisation ​

  • Deux vues dédiées « Clocking and Reset » et « Interrupt and AXI-4 Lite » dans IP Integrator, pour fournir plus d'informations
  • Nouveau planificateur Pblock, un guichet unique regroupant tout ce qui concerne la création d'un pblock ​
  • Nouvelle GUI pour le regroupement automatique des espaces d'adresses équivalents pour les appareils de la série Versal Prime Gen2 et de la série Versal AI Edge Gen2
  • Prise en charge de la GUI pour report_dfx_summary, qui fournit un accès direct aux données spécifiques à DFX pour un débogage amélioré ​

Nouveautés du software Vivado 2025.1 par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations du software Vivado 2025.1.

  • Appareils prêts pour la production :
    • Spartan UltraScale+ : XCSU10P, XCSU25P, XCSU35P 
       
  • Appareils en accès général (GA) :
    • Série Versal AI Edge Gen2 : XC2VE3558, XC2VE3504, XC2VE3858, XC2VE3804 ​
    • Série Versal Prime Gen2 : XC2VM3558, XC2VM3858 

  • Possibilité de démarrer le sous-système de traitement en premier et de charger dynamiquement la logique programmable à la volée, ce qui permet un démarrage rapide du système d'exploitation et des diverses séquences d'amorçage
  • Option permettant d'activer la compensation d'inclinaison étalonnée afin de minimiser les divergences locales et globales pour les appareils Versal SSIT uniquement ​​
  • Découpage temporel des exigences en matière de qualité de service et de bande passante afin de maximiser les performances du NoC
  • Nouveau planificateur Pblock, un guichet unique regroupant tout ce qui concerne la création d'un pblock
  • Amélioration de la prise en charge des directives/sous-directives pour un gain en termes de qualité des résultats en fournissant un script TCL qui fait automatiquement correspondre les directives par défaut dans le flux standard aux nouvelles directives/sous-directives dans le flux avancé

  • Une IP de commutateur AXI basée sur RTL entièrement personnalisable qui sert de pont entre les différents types et largeurs d'interface AXI
  • Deux vues dédiées « Clocking and Reset » et « Interrupt and AXI-4 Lite » dans IP Integrator, pour fournir plus d'informations
  • Nouvelle GUI pour le regroupement automatique des espaces d'adresses équivalents pour les appareils de la série Versal Prime Gen2 et de la série Versal AI Edge Gen2

  • Prise en charge de la GUI pour report_dfx_summary, qui fournit un accès direct aux données spécifiques à DFX pour un débogage amélioré ​

  • Ajout de la prise en charge des constructions VHDL 2019 pour la simulation, telles que les expressions conditionnelles, le retour conditionnel, l'enregistrement vide et la vue en mode pour les interfaces et l'exclusion de la couverture du code, etc. ​

Points forts de la version 2024.2 d'AMD Vivado™ :

Placement et routage rapides pour tous les appareils Versal™

  • Flux avancé avec positionnement automatique basé sur la partition, et placement et routage parallèles (P&R)
  • Réduit la congestion et améliore la routabilité pour une finalisation rapide de la conception
  • Flux par défaut pour tous les appareils Versal

Flux RTL de haut niveau

  • Pour l'utilisation d'un network on chip (NoC) programmable Versal et de liens série rapide à partir du flux RTL de haut niveau.

Configuration segmentée pour le démarrage rapide du système de traitement (PS) dans les appareils Versal

  • Le PS est démarré en premier, avec une configuration différée de la logique programmable (PL)
  • Démarrage rapide du système d'exploitation avec DDR
  • Diverses exigences de séquence de démarrage satisfaites

Fonctionnalités faciles à utiliser

  • Nouveau préréglage en temps réel pour le processeur AMD MicroBlaze™ V
  • Le HDL en ligne de l'IP utilitaire permet un chargement et une configuration IP plus rapides
  • Visualisation améliorée du plan DFX et rapport de synthèse DFX
  • Nouvel utilitaire de débogage PDI (décodage et analyse des erreurs de configuration de démarrage)
  • Améliorations de l'interface utilisateur graphique pour les blocs de construction lors de la planification
  • Modification du nom de la bibliothèque partagée par le noyau pour Xilinx Simulator interface (XSI)

AMD Vivado 2024.2 inclut des améliorations majeures pour la conception avec les SoC adaptatifs AMD Versal™. En savoir plus.


Nouveautés Vivado 2024.2 par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado 2024.2.

  • Nouveau flux avancé pour tous les appareils Versal, permettant un positionnement par partition et des P&R parallèles afin de réduire la congestion et l'accessibilité pour une finalisation rapide de la conception
  • Possibilité de démarrer le sous-système de traitement en premier tout en retardant la configuration de la logique programmable, ce qui permet d'activer rapidement le système d'exploitation et divers flux de séquence de démarrage
  • Améliorations apportées à l'interface utilisateur graphique pour les blocs de construction lors de la planification, notamment des info-bulles, le positionnement en mode « snap » et l'accès rapide aux paramètres de propriété

  • Prise en charge des préréglages en temps réel pour MicroBlaze V IP
  • Possibilité de configurer les composants clés de l'hard IP dans les appareils Versal tels que CIPS, NoC et les liens série rapide à partir du RTL de premier niveau
  • HDL en ligne de l'IP utilitaire pour un chargement et une configuration IP plus rapides

  • Visualisation améliorée du plan DFX pour faciliter la mise en œuvre
  • Rapport récapitulatif DFX des indicateurs clés pour guider les utilisateurs dans l'optimisation 

  • Nouvel utilitaire de débogage PDI (décodage et analyse des erreurs de configuration de démarrage)
  • Modification du nom de la bibliothèque partagée du noyau pour Xilinx Simulator interface (XSI)

Points forts de la version 2024.1 de Vivado

Accès général au processeur soft MicroBlaze™ V (basé sur RISC V Open-Source ISA)

Améliorations de la qualité des résultats (FMAX) pour les appareils Versal™

  • Optimisation de l'horloge et des P&R au-delà des limites du SLR (pour les appareils Versal multi-SLR)
  • Resynchronisation contrôlée par l'utilisateur pendant l'optimisation physique
  • Sélection de l'arbre d'horloge contrôlée par l'utilisateur pour minimiser le décalage d'horloge

Améliorations de Dynamic Function eXchange (DFX)

  • Création de rapports améliorés sur les conceptions DFX pour faciliter la finalisation de la conception
  • Ajout de la prise en charge de la configuration tandem et des appareils Versal SSIT de ciblage DFX pour répondre aux exigences de synchronisation PCIe®

Power Design Manager​

  • Ajout de la prise en charge de la famille Zynq™ RFSoC
  • Graphiques intégrés pour l'analyse de scénarios et la visualisation des catégories de puissance
  • Possibilité d'exporter du contenu PDM vers une feuille de calcul pour un partage rapide des informations

Nouveautés de Vivado par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado 2024.1.

Synthèse et mise en œuvre

  • Optimisation de l'horloge et des P&R pour les conceptions dépassant les limites des appareils SLR (appareils Versal multi-SLR)
  • Contrôle de la resynchronisation par l'utilisateur pendant l'optimisation physique
  • Sélection de l'arbre d'horloge contrôlée par l'utilisateur pour minimiser le décalage d'horloge
  • Positionnement et partitionnement améliorés de l'horloge pour les conceptions avec de nombreuses horloges à faible dispersion

IP Integrator

  • Reconstitution de projet plus cohérente à partir de scripts TCL pour faciliter le contrôle de la révision des conceptions basées sur IPI
  • Accès général au processeur soft MicroBlaze V (basé sur RISC V Open-Source ISA)

Dynamic Function eXchange

  • Création de rapports améliorée sur les conceptions DFX pour faciliter la fermeture temporelle
  • Configuration en tandem pour répondre aux exigences de synchronisation PCIe® (séries Versal Premium et Versal HBM)
  • Synchronisation de l'horloge NoC pour la réduction de puissance

Nouveautés - points forts de la version 2023.2

Atteindre les objectifs Fmax

  • Amélioration des performances des conceptions dans les appareils Versal Premium et Versal HBM grâce au placement et au routage automatiques des passages du SLR
  • Génération d'images d'appareils plus rapide avec prise en charge multithread

Amélioration de la facilité d'utilisation dans IPI, DFX, Debug et Simulation

  • Nouvelle fenêtre GUI ajoutée pour la visualisation du chemin d'adresse à partir de la source et du récepteur pour les appareils Versal dans IPI
  • Capacité de verrouillage d'adresse affectée manuellement dans les BD (IPI)
  • Amélioration de la visualisation des plans DFX dans les appareils Versal
  • Ajout de la prise en charge pour Tandem+DFX dans la même conception pour les appareils monolithiques Versal
  • Prise en charge étendue de la configuration Tandem pour la file d'attente DMA IP sur les appareils UltraScale+™
  • Prise en charge des VCD de Vivado Simulator pour les utilisateurs de SystemC

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2023.2

Prise en charge des appareils

Appareils prêts pour la production :

  • Versal HBM : XCVH1742 et XCVH1782
  • Versal Premium : XQVP1502, XQVP1202 et XQVP1402

Synthèse et mise en œuvre

  • Amélioration des performances des conceptions dans les appareils Versal Premium et Versal HBM grâce au placement et au routage automatiques des passages du SLR
  • Génération d'images d'appareils plus rapide avec prise en charge multithread

IP Integrator

  • Nouvelle fenêtre GUI ajoutée pour la visualisation du chemin d'adresse à partir de la source et du récepteur pour les appareils Versal dans IPI
  • Capacité de verrouillage d'adresse affectée manuellement dans les BD (IPI)

Dynamic Function eXchange

  • Amélioration de la visualisation des plans DFX dans les appareils Versal
  • Ajout de la prise en charge pour Tandem+DFX dans la même conception pour les appareils monolithiques Versal
  • Prise en charge étendue pour la configuration en tandem pour Queue DMA IP dans les appareils UltraScale+

Débogage et simulation

  • Prise en charge du VCD Vivado XSIM pour les utilisateurs de SystemC
  • Ajout de la prise en charge des fichiers STAPL pour les appareils UltraScale+
  • Mises à jour de la prise en charge de simulateur tiers

Nouveautés de la version 2023.1 : points clés

  • Amélioration moyenne du QoR de 8 % pour les SoC adaptatifs Versal™ et de 13 % pour les FPGA UltraScale+ utilisant des Intelligent Design Runs*
  • Power Design Manager (PDM) fait désormais partie d'Unified Installer
  • Ajout de la prise en charge des appareils Versal HBM dans PDM
  • Extension de la prise en charge du multithreading pour la génération de bitstream pour les appareils Versal
  • Améliorations apportées à Report QoR Assessment (RQA)

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2023.1.

Appareils prêts pour la production

  • Appareils Versal AI Core : XQVC1702 

  • Prise en charge de la couverture de code
  • Mises à jour des outils de simulation pour les outils tiers
  • Prise en charge améliorée du flux de simulation d'exportation 

  • Génération de bitstream via le multithreading, ce qui étend la prise en charge de Versal
  • Traitement flexible MARK_DEBUG pendant le processus de conception de circuits intégrés (PnR)
  • Nouvelles optimisations physiques post-placement
  • Prise en charge de VHDL-2019 

  • Améliorations apportées à Intelligent Design Run (IDR) pour les conceptions Versal et UltraScale+
  • Améliorations apportées à Report QoR Assessment (RQA)

  • Fallback BSCAN pour AXI Debug Hub pour Versal
  • Prise en charge du débogage DFX pour le flux « Insertion » – Versal 

Sous-systèmes PCIE

  • Pilotes d'hôte CPM5 x86 pour Linux et DPDK
  • Performances améliorées dans QDMA v5.0

Filaire

  • Production DCMAC, HSC et QSGMII sur les produits Versal premium
  • Versal 400G RS-FEC avec Interlaken hard sur MRMAC FEC

Sans fil

  • RFSoC DFE IP - Nouvelle IP FT PRACH, IP PRACH mise à jour pour multibande, améliorations EoU de l'outil Eval
  • Réduction des ressources ORAN-PL pour macro/petite cellule
  • Amélioration de la prise en charge multibande

Mémoire

  • Accès public Versal HBMZE
  • Simulation du système C HBM2E

Assistants Infrastructure, intégré, GT

  •  Activation ECC sur CAN soft et FIFO AXI Stream

Multimédia

  • DisplayPort 2.1 Tx
  • Conformité HDMI 2.1 sur ZU+
  • Améliorations MPI CSI RX IP et DSP IP
  • Nouvel exemple de conception MIPI CSI -2 RX sur VEK280
  • Accès général VDU 

Notes de bas de page :
* Tests réalisés par l'équipe d'ingénierie de Vivado le 26 mars 2023 sur 45 conceptions client pour Versal à l'aide de l'outil software Vivado ML version 2023.1 fonctionnant en mode « IDR » (Intelligent Design Runs) plutôt qu'en mode « sans  » (mode par défaut). Les résultats reflètent un test unique de toutes les conceptions, les différences calculées et moyennes. Les résultats réels varient en fonction de facteurs tels que la conception spécifique, la configuration du système et les versions software. VIV-003
* Tests réalisés par l'équipe d'ingénierie de Vivado le 14 avril 2023 sur 50 conceptions client pour UltraScale+ sur le software Vivado ML 2023.1 en mode « IDR » et « sans » (mode par défaut).    Les résultats reflètent un test unique de toutes les conceptions, les différences calculées et moyennes. Les résultats réels varient en fonction de facteurs tels que la conception spécifique, la configuration du système et les versions software. VIV-004

Points forts des nouveautés de la version 2022.2

  • Présentation de Power Design Manager pour Versal™ Adaptive SoC et Kria™ SOM
  • La solution Intelligent Design Run, désormais prise en charge pour les appareils Versal, montre une amélioration moyenne de 5 % par rapport à la stratégie Explore*
  • Accélération x 1,4 du temps de compilation pour les conceptions d'architecture UltraScale+™ avec la technologie Incremental Compile Flow**
  • Abstract Shell pour DFX est désormais pris en charge pour les appareils Versal et en mode projet
  • Prise en charge DFX activée pour les appareils SSI Versal Premium

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2022.2.

  • Appareils activés dans l'édition Enterprise de Vivado ML 
    • Série Versal™ Premium : XCVP1702, XCVP1802, XCVP1102
  • Appareils activés dans les éditions Standard et Enterprise 
    • Kria™ SOM : XCK24
  • Appareils prêts pour la production
    • Série Versal Premium : XCVP1202
    • Série Versal Prime : XCVM1502
    • Série Versal AI Core : XCVC1702, XCVC1502

  • Réduction de 25 % de l'encombrement maximal de l'installation sur disque

Infrastructure et Intégré

  • Unité de protection des points de terminaison (EPU) soft pour la protection des agents AXI résidant dans l'espace programmable (PL)

Stockage

  • La carte réseau intégrée RDMA (ERNIC) prend désormais en charge jusqu'à 2 000 paires de files d'attente (QP)

Assistant lien série rapide Gigabit (GT)

  • Les GTM Versal prennent désormais en charge la commutation de débit entre la moitié et la totalité de la densité 
  • 16 configurations pour Versal GTY/GTYP (limité à la capacité interne de BRAM)

Filaire

  • Sous-systèmes MAC Ethernet multi-débit 100G (MRMAC) 
    • Prise en charge de la voie série 106G Ethernet 100G activée
  • Sous-système MAC Ethernet multi-débit 600G (DCMAC) 
    • Prise en charge de la série 100GE, 200GE, 400GE 106G par voie activée 
  • Aurora 64B/66B 
    • Ajout de la prise en charge de 16 voies du GTYP ou du module lien série rapide Gigabit (GTM, Gigabit Transceiver Module) sur Versal Premium 

Sans fil

  • Mise à jour de l'IP DFE Zynq™ RFSoC : Filtre de canal et partage DUC-DDC UL/DL 
  • Mise à jour Zynq RFSoC DFE DPD : Réduction des ressources PL 
  • Zynq RFSoC DFE O-RU TRD : Mise à jour avec traitement Low PHY uniquement

Sous-systèmes PCIe® 

  • Les pilotes d'hôte CPM5 x86 pour Linux et DPDK sont désormais disponibles en version publique sur GitHub 
  • Conception de simulation PCIe BMD Versal CPM5 (du magasin CED) 
  • Conception Versal CPM Tandem PCIe (du magasin CED) 
  • QDMA v5.0 a amélioré les performances/l'utilisation des ressources 

Multimédia 

  • Activation Versal AI Edge de soft IP et d'unité de décodeur vidéo (VDU)
  • Warp Processor IP en production
  • Activation de la solution multimédia Ultra HD 8K pour 
    • HDMI2.1
    • Video Mixer IP 

  • Prise en charge MxN NoC streaming AXI dans IP Integrator 
  • Nouvelle fonction de remappage d'adresse
  • Vivado pour la vérification de la syntaxe par défaut
  • Visualisation du chemin d'adresse
  • XML au format JSON pour les fichiers XCI

  • Prise en charge de System Verilog « Interface Class »
  • Prise en charge du débogage pour les objets de type de référence System Verilog via la commande tcl et la fenêtre d'objet
  • Prise en charge de VHDL-2008

  • Prise en charge du débogueur PCIe sur les nouvelles architectures Versal
    • VP1502
    • VP1702
    • VP1802
  • Prise en charge du débogueur HBM2E sur les appareils Versal HBM
  • Prise en charge d'IBERT (Integrated Bit Error Ratio Tester) sur les nouvelles architectures Versal
    • VP1502
    • VP1702
    • VP1802

  • Optimisation de la qualité des résultats pour les réseaux à dispersion élevée  
  • Réplication de placement pour les blocs hard IP 
  • Deux nouvelles contraintes de partitionnement pour les conceptions SSI  
  • Option de décomposition LUT pour réduire la congestion 
  • Mise en œuvre incrémentielle activée pour les appareils Versal monolithiques 
  • Prise en charge du flux ECO pour les appareils Versal 

  • Nouveau contenu ajouté au QoR Assessment Report
  • Amélioration moyenne de 5 % de la qualité des résultats pour les conceptions Versal lorsque les Intelligent Design Runs sont activés 

  • Prise en charge de DFX pour les appareils SSI 
  • Prise en charge d'Abstract Shell pour les appareils Versal Premium et Versal HBM 
  • Prise en charge d'Abstract Shell pour le mode de projet 

Notes de bas de page :
* Les mesures ont été effectuées par l'équipe d'ingénierie de Vivado le 1er octobre 2022 sur 48 conceptions client pour Versal. La comparaison porte sur le pire retard négatif (WNS) de la stratégie « Explore » par rapport à « Intelligent Design » sur l'outil software Vivado ML 2022.2. La mise à niveau réelle des gains pour les systèmes commerciaux peut varier en fonction de facteurs tels que le hardware système, les versions des softwares et des pilotes, et les paramètres du BIOS. 
** Les mesures ont été effectuées par l'équipe d'ingénierie de Vivado le 1er octobre 2022 sur 68 conceptions en comparant la compilation par défaut à la compilation incrémentielle sur l'outil software Vivado ML 2022.2.  Six comparaisons de valeurs aberrantes supérieures à 6 fois ont été ignorées pour fournir une moyenne de performances plus représentative. 5 % de la conception compilée de manière incrémentielle à des fins de comparaison. La mise à niveau réelle des gains pour les systèmes commerciaux peut varier en fonction de facteurs tels que le hardware système, les versions des softwares et des pilotes, et les paramètres du BIOS. 

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2022.1.

Les appareils suivants ont été activés dans l'édition Enterprise de Vivado ML

  • Série Versal AI Core defense-grade : XQVC1902
  • Série Versal AI Core space-grade : XQRVC1902
  • Série Versal AI Core : XCVC1702, XCVC1502
  • Série Versal AI Edge : XCVE1752
  • Série Versal Prime défense-grade : XQVM1802
  • Série Versal Prime : XCVM1402, XCVM1302, XCVM1502
  • Série Versal Premium : XCVP1202

Les appareils suivants ont été activés dans les éditions Standard et Enterprise

  • Artix UltraScale+ : XCAU15P, XCAU10P
  • MPSoC Zynq UltraScale+ : XAZU1EG

Filaire

  • Prise en charge de Versal Premium :
    • Sous-système Ethernet 600G
    • Interlaken 600G avec sous-système RS-FEC
    • Sous-système de moteur crypto haute vitesse (HSC)
    • Aurora 64B/66B NRZ GTM
    • JESD204C 64B/66B GTM
  • Aurora 8B/10B pris en charge par Artix UltraScale+ GTH
  • Préréglages GTM 64G Ethernet PAM4 disponibles
  • Préréglages GTM XSR (Extra Short Range) disponibles

  • Estimation des ressources basée sur ML
  • Format simplifié pour le contrôle des révisions par l'utilisateur
  • Amélioration de la référence du module
    • Ajouter Block Design en tant que référence de module dans un autre BD
  • L'automatisation des blocs CIPS prend désormais en charge DDR et LPDDR simultanément
  • Le planificateur Versal Hardblock est en production dans la version 2022.1.

  • Slice dans les agrégats – VHDL 2008
  • Nom de l'unité de conception pour SystemC dans la fenêtre de portée

  • Sensibilisation aux violations de la méthodologie de conception
    • Des alertes contextuelles s'affichent lorsque vous ouvrez une conception contenant des violations
  • Rapport d'évaluation de la qualité des résultats interactif
    • Score Report QoR Assessment (RQA) affiché dans les exécutions de conception
  • Accédez facilement aux fonctionnalités de fermeture temporelle dans Projects
    • Pour Versal, nous avons désormais des stratégies ML et des Intelligent Design Runs
  • Flux de suggestions de qualité de résultat automatiques
    • À utiliser lors de l'itération de conceptions avec des délais difficiles à respecter
  • Améliorations de la qualité des résultats Versal dans Vivado
    • Amélioration moyenne de 5 à 8 % de la qualité des résultats

  • Prise en charge du débogueur IBERT et PCIe pour Versal H10
  • Prise en charge du déclencheur au démarrage avec Versal ILA et la qualification de stockage
  • Améliorations de ChipScoPy

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2021.2.

Les appareils suivants ont été activés dans les éditions Enterprise et Standard de Vivado ML

  • Appareils Artix UltraScale+ : XCAU20P et XCAU25P

Améliorations des délais et de la qualité des résultats :

  • Aider les utilisateurs à saisir des contraintes de débit de haut niveau
  • Améliorer la précision de l'estimation du temps HLS : Lorsque HLS signale la fermeture temporelle, la synthèse RTL dans Vivado devrait également respecter le délai

Améliorations de la facilité d'utilisation

Ajouter le rapport des adaptateurs d'interface dans les rapports de synthèse C :

  • Les utilisateurs doivent connaître l'impact des ressources sur leur conception des adaptateurs d'interface
  • Les adaptateurs d'interface ont des propriétés variables qui ont un impact sur la QoR de conception
  • Certaines de ces propriétés sont associées à des contrôles utilisateur qui doivent être signalés aux utilisateurs
  • La version texte des rapports bind_op et bind_storage est fournie

Analyse et création de rapports

Function Call Graph Viewer fournit de nouvelles fonctionnalités :

  • Nouvelle fonctionnalité de zoom avant et arrière basée sur le glissement de la souris
  • Nouvelle fonction Aperçu qui affiche le graphique complet et permet à l'utilisateur d'effectuer un zoom avant sur des parties du graphique d'ensemble
  • Toutes les fonctions et boucles sont affichées avec leurs données de simulation

Une nouvelle visionneuse de suivi de chronologie est désormais disponible après la simulation. Cette visionneuse affiche le profil d'exécution de votre conception et permet à l'utilisateur de rester dans la GUI (interface graphique utilisateur) de Vitis HLS.

  • Versal Premium GTM prend en charge les préréglages 600G Interlaken
  • Prise en charge de Versam Premium GTM pour les préréglages 100GE
  • Nouvelle prise en charge de la simulation de 600G Interlaken Versal Premium Integrated
  • EPC IP est désormais pris en charge dans les appareils Versal
  • La mémoire XPM et le FIFO XPM prennent désormais en charge le mode RAM mixte,
    en utilisant « ram_style = "mixed" »
  • Ajout de la prise en charge de Lossless Compression IP pour un mode de décompression amélioré, doublant le débit pour un coût LUT supplémentaire
  • Prise en charge des sous-systèmes PCIe pour FPGA Artix UltraScale+
  • Prise en charge étendue des sous-systèmes PCIe pour les SoC adaptatifs Versal

Intelligent Design Runs​(IDR)

  • Amélioration du contenu des rapports : 
    • Suppression des entrées de tableau non pertinentes et des liens inactifs
    • Ajout de statistiques de conception pour toutes les étapes
  • Génération de bitstream disponible sous forme de sélection de menu accessible par clic droit
  • Termine les exécutions disponibles sous forme de sélection de menu accessible par clic droit

Prédiction de la directive de placement basée sur ML

  • Jusqu'à trois directives de placement les plus performantes sont prévues au moment de l'exécution de place_design
  • Utilisez l'option place_design -directive avec les valeurs suivantes : Auto_1, Auto_2 et Auto_3

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2021.1

  • Série Versal™ AI Core : - XCVC1902 et XCVC1802
  • Série Versal Prime : - XCVM1802
  • Appareil Virtex™ UltraScale+™ HBM : XCVU57P

  • Version Flexlm mise à niveau vers la version 11.17.2.0
    • Prise en charge des versions 64 bits de Linux et Windows uniquement
    • Les clients qui utilisent une licence flottante doivent mettre à jour les utilitaires de licence vers Flexlm 11.17.2.0

  • Block Design Container
    • 2021.1 est la version de production pour les conteneurs de conception de blocs.
    • Permet une conception modulaire pour assurer la réutilisation
    • Permet des conceptions basées sur l'équipe
    • Active le flux DFX dans le mode projet
    • Possibilité de spécifier des variantes pour la simulation et la synthèse
    • Gestion des adresses pour les BDC à partir du BD de premier niveau
       
  • Vivado Store
    • Téléchargez des cartes et des exemples de conceptions à partir de GitHub
    • Les partenaires de cartes tiers peuvent contribuer de manière asynchrone aux versions de Vivado
       
  • Améliorations du contrôle de révision IP/IPI
    • Migration d'anciens projets Vivado vers une nouvelle structure de répertoires
       
  • CIPS 3.0
    • Ré-architecture IP de CIPS vers le modèle hiérarchique
    • Nouvelle interface utilisateur modulaire

  • Éditeur de texte Vivado – Sigasi Backend
    • Serveur de protocole de langage prenant en charge :​
      • Fin automatique
      • Accéder à la définition/Rechercher des utilisations
      • Infobulles
      • Indentation (plage uniquement en VHDL)
      • Erreurs de syntaxe et avertissements en temps réel
      • Repli de code
      • Mise en surbrillance sémantique

  • Assistance IPI Designer pour CIPS et NoC
    • Active l'automatisation intuitive en mode bloc pour la connectivité NoC et CIPS
    • Permet de créer plus facilement des conceptions qui accèdent à toute la mémoire disponible connectée à l'appareil ou sur la carte, par exemple DDR et LPDDR

  • Affectation DDR non-Power of 2 via Interconnect
    • L'IPI prend désormais en charge les affectations d'adresses non-power-of-2 (NPOT) sur les chemins d'adresse avec une ou plusieurs IP SmartConnect

  • Améliorations d'IP Packager
    • Amélioration de l'expérience client avec Packager
      • Connectivité des interfaces personnalisées dans IPI/IP personnalisé
      • Mémoire XPM dans le packager
      • Possibilité de marquer des fichiers en tant que SV ou VHDL-2008 dans le package à partir d'une IP de package issue d'un référentiel
    • Version de production de RTL IP sous forme de noyau Vitis
      • Contrôleurs de domaine spécifiques au noyau dans le packager IP
      • Facilité d'utilisation
      • Conservation des métadonnées dans ces adresses IP packagées pour l'utilisation du noyau Vitis
  • Améliorations IP – Centre de données
    • Sous-systèmes PCIe
      • Assistance en accès anticipé pour CPM5, PL PCIE5 et GTYP dans Versal Premium
      • Prise en charge de CPM4 dans Versal CIPS Verification IP (VIP) pour la simulation
    • Présentation de l'IP CAM algorithmique
      • EA pour les appareils US+
    • Amélioration d'AXI IIC dans la fonction de mode de lecture dynamique
    • Prise en charge de SmartConnect pour les plages d'adresses NPOT (Non-Power-Of-Two)
    • Version et documentation de l'API de la bibliothèque XilSEM dans UG643
    • Ajout de la prise en charge des appareils de base SEM IP pour les appareils US+
  • Améliorations IP – Vidéo et imagerie
    • IP d'interface vidéo et image
      • Le sous-système CSI TX ajoute la prise en charge de YUV422 10 bits
      • Les sous-systèmes DisplayPort ajoutent la prise en charge de la fonction de répétiteur HDCP2.2/2.3
      • HDMI2.1 (accès contrôlé) ajoute la prise en charge de Dynamic HDR et des fonctions de jeu améliorées (VRR, FVA, QMS et ALLM)
    • Nouvelle IP : Warp Processor pour la manipulation numérique des images
      • Prend en charge la distorsion en trapèze, en barillet et en coussinet et les distorsions arbitraires
      • Évolutivité : 0,5x, 1x, 2x ; rotation : -90 à +90 °
      • Résolutions de 320 x 240 à 3 840 x 2 160, avec prise en charge multicanal
      • Entrée et sortie : 8/10/12 bpc YUV, RGB
  • Améliorations IP – Filaire
    • Sous-système Ethernet multidébit de 100G - MRMAC
      • GTM NRZ Ethernet 10G/25G/40G/50G/100G
      • Ethernet MRMAC 25G à –1LP

  • Améliorations IP – Sans fil
    • O-RAN
      • Fonction de compression/décompression statique/dynamique dans le noyau IP (BFP + modulation)
      • Nouvelle interface pour prendre en charge les informations LTE Section Extension Type 3 et alimenter un bloc de précodage LTE externe via une seule interface
      • Prise en charge du mappage d'ID de faisceau par emplacement (en plus de la méthode par symbole existante)
      • Prise en charge des messages de type 3 de la section DL
      • La section Type 0 a été ajoutée au port PDxCH BID
      • La taille maximale du paquet Ethernet a été augmentée à 16 000 octets (prise en charge des paquets jumbo de 9 600 octets)
  •  Améliorations IP – Stockage
    • NVMeHA prend désormais en charge les appareils Versal et VU23P
    • NVMeTC prend désormais en charge les dispositifs Versal et VU23P
    • ERNIC prend désormais en charge Versal
      • Connexion native à MRMAC
    • AES-XTS disponible uniquement sur demande spéciale
  • Améliorations IP XPM
    • XPM_Memory et EMG prennent désormais en charge toutes les tailles d'URAM
    • XPM_Memory et EMG prennent désormais en charge les combinaisons de RAM mixte
      • Utiliser ram_style = "mixed"​ 
    • XPM_Memory et XPM_FIFO permettent de désactiver les assertions pour une prise en charge plus étendue de la simulation
      •  DISABLE_XPM_ASSERTIONS define a été ajouté
  • Améliorations IP – Assistant GT 
    • Production d'assistant Versal GTY
    • Assistant Versal GTYP disponible en accès anticipé
    • Assistant Versal GTM disponible en accès anticipé    

  • Vitis HLS 2021.1 – Prise en charge de la production Versal
  • Étalonnage temporel de Versal et nouvelles commandes pour les opérations Floating-Point Operator du bloc DSP
  • Option de pipeline à jeter avec une logique de dispersion inférieure (pipeline à fonctionnement libre, ou frp)
  • Amélioration de l'algorithme de partitionnement automatique de la mémoire et nouvelle option config_array_partition
  • Nouveau « Flow Navigator » dans la GUI (interface graphique utilisateur) et vues fusionnées pour la synthèse, l'analyse et le débogage
  • Prise en charge du noyau de streaming « sans fin » du flux Vitis pour de faibles frais de fonctionnement
  • Visionneuse de graphiques d'appels de fonction avec carte thermique pour II, latence et utilisation DSP/BRAM
  • Nouvelle section de rapport de synthèse pour BIND_OP et BIND_STORAGE
  • Meilleure gestion pragmatique axée sur les données pour une meilleure cohérence
  • Rapport Vivado et nouveaux widgets d'exportation IP pour transmettre les options à Vivado
  • Nouveau rapport de texte après synthèse C pour refléter les informations de la GUI (interface graphique utilisateur)

Intégration du modèle ML

  • Modèles d'apprentissage automatique pour prédire et sélectionner des optimisations
    • Accélération de la compilation de 30 % pour les conceptions de Versal

Nouvelles fonctions de synthèse

  • XPM_MEMORY prend en charge le mappage de RAM hétérogène
    • Baie de mémoire mappée à l'aide de tous les types de ressources d'appareils : UltraRAM, RAM en mode bloc et LUTRAM
    • Utilisation la plus efficace de tous les ressources​
    • Utiliser un paramètre ou un générique : MEMORY_PRIMITIVE(“mixed”)
    • Ne prend pas en charge WRITE_MODE = NO_CHANGE​
    • VHDL-2008 : nouvelle prise en charge de la fonction to_string()
    • Le rapport de journal inclut les remplacements RTL des génériques et des paramètres IP

Modèles d'apprentissage automatique dans la mise en œuvre

  • Prévoir la congestion et les retards de routage
  • Meilleure corrélation entre l'estimation basée sur le placement et le routage réel avec une meilleure Fmax et des délais de compilation réduits

opt_design -resynth_remap​

  • Nouvelles optimisations de la resynchronisation des cônes logiques temporisés qui réduisent les niveaux logiques

Resynchronisation manuelle des LUT et des registres pendant le positionnement avec propriétés XDC

  • PSIP_RETIMING_BACKWARD
  • PSIP_RETIMING_FORWARD

Nouvelles fonctions pour les appareils Versal

  • Le réglage du décalage étalonné ajuste les taps de délai du réseau de l'horloge avant le démarrage de l'appareil afin de réduire davantage le décalage
  • L'insertion automatique au pipeline améliore la vitesse d'horloge sur les trames...
    • Entre le PL et le NoC et entre les moteurs PL et d'IA
    • Disponible à la fois à partir de l'IP AXI Regslice et en utilisant les propriétés de pipeline automatique
    • Ajoute une latence aux trames du pipeline
  • Pipelines élastiques des primitifs de registre d'équipe (SRL)
    • Les pipelines sont construits autour d'un SRL qui contient l'excédent d'étapes des pipelines
    • Le positionnement construit le pipeline idéal en fonction du positionnement de la source et de la destination
    • Les étapes peuvent être retirées du SRL pour couvrir une plus grande distance
    • Les étapes sont absorbées par le SRL pour rétrécir le pipeline pour des distances plus courtes
    • Préserve la latence sur les trames du pipeline

Intelligent Design Runs :

  • La fonction Intelligent Design Runs (IDR) permet d'accéder à un nouveau flux de fermeture automatisé et puissant à l'aide d'un simple bouton
    • report_qor_suggestions​
    • Prédiction de stratégie ML
    • Compilation incrémentale
  • Disponible dans les projets Vivado, il est lancé par un clic droit de la souris sur une exécution d'implémentation qui échoue à la synchronisation. Le tableau de bord Rapports IDR détaille la progression du flux et fournit des liens hypertextes vers les rapports associés. Une excellente option pour les utilisateurs ayant des difficultés avec la fermeture temporelle
    • Gain de qualité des résultats moyen > 10 %

Améliorations apportées à Report QoR Suggestions (RQS)

  • Suggestions de qualité des résultats compatible DFX
    • Des suggestions sont données uniquement sur les modules DFX lorsque le statique est verrouillé
    • Aucune suggestion perturbant les limites DFX
    • Les suggestions de synthèse sont correctement étendues aux exécutions globales ou hors contexte
  • Évaluation incluse dans le rapport interactif report_qor_suggestions (RQS) de la GUI (interface graphique utilisateur)

Violations de méthodologie dans les rapports de synchronisation

  • Les rapports de synchronisation incluent désormais un résumé de la méthodologie de rapport
    • Attire l'attention sur les violations​de la méthodologie
    • Les violations de la méthodologie négligées peuvent causer des échecs de synchronisation
  • Inclut le résumé des violations de méthodologie de la dernière exécution report_methodology
    • Résumé des violations de méthodologie stocké avec le point de contrôle de conception

Nouvelles fonctionnalités dans les rapports de contrainte

  • report_constant_path : nouvelle commande permettant d'identifier la source des valeurs logiques constantes observées sur les cellules et les broches
    • report_constant_path <pins_or_cells_objects>​
    • report_constant_path -of_objects [get_constant_path <pins_or_cells_objects>]

DFX pour Versal

  • Flux DFX Versal disponibles avec un statut de production actif
    • Compilez les conceptions DFX à partir des conceptions de bloc jusqu'à la création d'images de dispositifs.
    • Utilisez Vivado IPI Block Design Containers (BDC) pour créer des conceptions Versal DFX
  • Tirez parti de la technologie DFX IP de Versal, tout comme avec UltraScale et UltraScale+
    • IP du découpleur DFX, IP DFX AXI Shutdown Manager pour isoler les interfaces​non-NOC
  • Toute la logique programmable est en partie reconfigurable
    • Du NoC aux horloges en passant par les blocs hard
  • Prise en charge de Dynamic Function eXchange full array AIE
    • Pris en charge via les flux de la plateforme Vitis

BDC pour DFX

  • Les conteneurs de conception de blocs (BDC) pour DFX ont été publiés dans IP Integrator
    • Prend en charge toutes les architectures, essentielles pour Versal
  • Placer une conception de bloc dans une conception de bloc pour créer et traiter des conceptions DFX
    • UG947 présente les didacticiels IPI BDC pour Zynq UltraScale+ et les appareils Versal
    • D'autres tutoriels DFX seront publiés sur GitHub

Flux de démarrage SoC classique utilisant DFX

  • Flux de démarrage SoC classique disponible pour les conceptions Versal
    • Permet aux utilisateurs de démarrer rapidement leur sous-système de traitement DDR et leur mémoire pour exécuter Linux avant de charger la logique programmable
    • Événements de programmation distincts dans Versal pour émuler le flux de démarrage Zynq
    • Génération de Pblock automatique utilisée dans ce flux
    • Non compatible avec CPM

Configuration Tandem transversale pour CPM4

  • Tandem PROM et Tandem PCIe® pour CPM4 disponible
  • Les utilisateurs qui ont besoin d'une configuration 120 ms d'un point de terminaison PCIe disposent désormais d'une sélection dans
    la GUI (interface graphique utilisateur) de personnalisation CIPS pour sélectionner le mode de configuration Tandem
    • PROM Tandem : charger les deux phases à partir de flash
    • Tandem PCIe : charger la phase 1 à partir de flash,
      la phase 2 sur liaison PCIe via DMA
    • Aucun : démarrage standard

Prise en charge d'Abstract Shell pour les conceptions Nested DFX dans UltraScale+

  • Subdivisez votre partition reconfigurable (RP) en plusieurs RPS imbriqués à l'aide de Nested DFX (pr_Subdivide)
  • Créez un Abstract Shell pour chaque RP (write_abstract_shell) imbriqué
  • Accélérez la mise en œuvre de chaque RP imbriqué à l'aide de son Abstract Shell

  • Améliorations de VHDL-2008
    • Séries non contraintes
    • Opérateurs conditionnels
    • Opérateurs de réduction unaires
       
  • Prise en charge de la couverture du code
    • Prise en charge de la commande write_xsim_coverage pour l'écriture de la base de données de couverture intermédiaire

Module SmartLynq+

  • Optimisé pour le port de débogage haute vitesse (HSDP) de Versal
    • Programmation d'appareils et accès mémoire plus rapides
    • Chargement et téléchargement de données haut débit
    • Stockage des données : Mémoire DDR de 14 Go sur le module
  • Prise en charge du port de débogage haute vitesse (HSDP)
    • Prise en charge de la connexion à HSDP basé sur Aurora via un connecteur USB-C
  • PC4 et JTAG​basé sur USB
  • Prise en charge UART en série

ChipScoPy

  • API Python open-source pour ChipScoPy
    • Contrôlez et communiquez avec l'appareil Versal et les cœurs de débogage
    • Il n'est pas nécessaire d'utiliser Vivado ; il suffit d'effectuer un PDI/LTX
    • Avantages
      • Créer des interfaces​de débogage personnalisé
      • Interface avec écosystème Python

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2020.2.

  • Série Versal AI Core : XCVC1902 et XCVC1802
  • Série Versal Prime : XCVM1802
  • RFSoC Zynq UltraScale+ : XCZU43DR, XCZU46DR, XCZU47DR, XCZU48DR, XCZU49DR

  • Petalinux fait désormais partie du programme d'installation d'AMD Unified, en plus de l'offre d'installation autonome existante.

  • Améliorations du contrôle des révisions
    • Nouvelle structure de répertoires séparant les sources des produits de sortie
    • Les produits de sortie BD/IP ne sont plus placés dans le répertoire project.srcs.
    • Tous les produits de sortie résident dans le répertoire project.gen parallèle au projet.srcs.

  • Améliorations du mappage des adresses
    • Vue graphique du mappage d'adresses en HTML

  • Améliorations dans la création sur la plateforme Vitis
    • Capable d'identifier Vivado Project en tant que projet de plateforme extensible pendant la création du projet et dans les paramètres du projet
    • Ajout de nouveaux DRC de validation d'interface de plateforme
    • Exécute les DRC de la plateforme pendant la validation des BD de la plateforme
    • Nouvelle GUI (interface graphique utilisateur) de configuration de plateforme

  • Améliorations de la mise en cache IP
    • Possibilité de créer et d'utiliser des caches IP zippés en lecture seule
    • Le cache zippé peut être référencé et n'a pas besoin d'être décompressé

  • Block Design Container
    • Instancier un BD dans un autre BD

  • CIPS (Control, interfaces and Processing System, système de contrôle, d'interfaces et de traitement) – Versal
    • Exemples de conceptions dans les magasins XHUB – Versal

Centre de données

  • Extension de la prise en charge du sous-système DMA de file d'attente pour les appareils PCI Express (QDMA)
    • Gen3x8 dans les appareils UltraScale+ "-2LV"
    • Gen4x8 dans les appareils VU23P Virtex UltraScale+ "-2LV"

  • Sous-systèmes SoC adaptatifs Versal pour PCI Express ciblant les blocs intégrés GTY, PL PCIE4 et CPM4
    • Bloc intégré pour PCI Express (GTY + PL PCIE4)
    • DMA et sous-système de pont pour PCI Express (GTY + PL PCIE4 + Soft QDMA, XDMA, AXI-Bridge)
    • Mode CPM pour PCI Express (GTY + CPM4)
    • CPM DMA et mode pont pour PCI Express (GTY + CPM4 + Hard QDMA, XDMA, AXI-Bridge)
    • PHY pour PCI Express (GTY)

Vidéo et imagerie

  • MIPI
    • Les taux de DPHY sur les appareils Versal ont augmenté : 3 200 Mbs sur les appareils -2 et -3, 3 000 Mbs sur les appareils -1
    • Ajout de la prise en charge de la sortie YUV420 pour le cœur RX CSI

  • Sous-systèmes DisplayPort 1.4
    • Prise en charge YUV420, Adaptive Sync, HDR statique
    • Option eDP IP en accès général

  • Sous-systèmes SDI
    • Prise en charge HLG HDR
    • Exemple de conception Versal VCK190 pass thru
  • HDMI2.0 ajoute la prise en charge de HDCP2.3

Filaire et sans fil

  • Production complète JESD204C
  • Nouveau RS-FEC 200G pour UltraScale+ et Versal
  • L'Ethernet 1G/10G/25G ajoute la prise en charge TSN en 1 étape
  • Horodatage hardware Versal MRMAC 1588 1 étape
  • Prise en charge du pilote Linux 2 étapes 1588 Ethernet MRMAC 10G/25G

Stockage

  • Nouvelles fonctionnalités ERNIC
    • Optimisation des ressources pour la prise en charge de la bande passante 100G
    • Prise en charge du nouvel appareil VU23P
    • Améliorations du contrôle de flux prioritaire (PFC)

  • NVMeTC prend désormais en charge le nouvel appareil VU23P
  • Algorithmes IP de compression sans perte, GZIP et ZLIB
  • La conception de référence NVMeOF est désormais disponible pour les cartes Alveo U50 et Bitware 250-SoC

Général

  • XPM
    • XPM_CDC est désormais disponible via IPI
    • Prise en charge de l'initialisation URAM pour Versal

  • Infrastructure et embarqué
    • Nouvelles fonctionnalités SmartConnect
      • Arbitrage prioritaire
      • Mode Low-Area

  • EMG (Embedded Memory Generator) dans IPI pour Versal, qui remplace Block Memory Generator
  • EFG (générateur FIFO intégré) dans IPI pour Versal, qui remplace FIFO Generator

Assistants :

  • Assistants désormais disponibles pour Versal
    • Assistant liens série rapide GTY
    • Assistant E/S avancé
    • Clocking Wizard

  • Nouvelles fonctionnalités de l'assistant lien série rapide
    • Automatisation complète des blocs, avec sélection de voie
    • Reconfiguration à la volée (Versal uniquement)
    • Partage quad (Versal uniquement)
    • IP passerelle du lien série rapide (Versal uniquement)

  • Synthèse de haut niveau
    • Vitis HLS remplace Vivado HLS dans Vivado (déjà par défaut pour Vitis dans la v2020.1)
    • Ajoute des directives de redimensionnement et de partitionnement de baies pour les ports principaux.
    • Disposition simplifiée des icônes de la barre d'outils avec de nouvelles sections de rapport pour les interfaces et les rafales AXI-4
    • Inférence pour l'accumulation à virgule flottante de cycle d'horloge unique dans les blocs DSP pour Versal
    • Les fichiers Tcl peuvent créer un projet et l'ouvrir directement dans la GUI (interface graphique utilisateur) (vitis_hls -p <file>.tcl).
    • Nouveau filtre en un seul clic pour les options autres que celles par défaut dans « Paramètres de la solution » → « Général »
    • Les tests aléatoires limités pour les interfaces AXI sont désormais visibles dans la GUI (interface graphique utilisateur)
    • L'option de correction d'erreurs (ECC) pour les blocs de RAM sur puce est disponible via l'option bind_storage pragma
    • Dimensionnement interactif de la profondeur FIFO dans la GUI (interface graphique utilisateur) pendant CoSim
    • Prise en charge de la programmation SIMD (types de données vectorielles)

Module complémentaire pour Matlab et Simulink :

  • Le programme d'installation unifié leur fournira à la fois Model Composer et System Generator dans un seul lanceur

  • Prise en charge de VHDL-2008
    • Opérateurs shift (rol, ror, sll, srl, sla et sra)
    • Combinaison d'opérateurs logiques Array et Scalar
    • Affectations séquentielles conditionnelles sur le signal
    • Génération de dossier
    • Extensions aux expressions statiques globales et statiques locales
    • Plages statiques et expressions d'entiers dans les limites de la plage

  • Prise en charge du nom hiérarchique d'un langage à l'autre
    • Le nom hiérarchique Verilog sera activé pour accéder aux signaux VHDL à partir des modules SV/Verilog

  • Prise en charge du simulateur pour Versal
    • Simulateur AMD
    • Simulateurs tiers
      • Cadence Xcelium
      • Mentor Graphics Questasim

  • Versal AXIS-ILA
  • Améliorations du flux de débogage
  • Améliorations de l'automatisation des blocs de débogage
  • Prise en charge pour la sélection du stockage de trace URAM et AXIS-ILA

  • Prise en charge du type de chaîne System Verilog
  • Prise en charge des packages à virgule fixe et flottante dans VHDL-2008
  • Pipeline automatique pour les RAM hétérogènes
  • La directive de compactage logique est étendue aux LOOKAHEAD Versal

  • Améliorations de la réplication du dispositif de placement (PSIP)
  • Définition et analyse de puissance du rail
  • Conversion de tampon global BUFG-to-MBUFG (Versal)

  • Améliorations des fonctions RQA et RQS

  • Abstract Shell pour Dynamic Function eXchange
  • Isolation Design Flow (IDF) + DFX en une seule conception

Nouveautés Vivado ML par catégorie

Développez les sections ci-dessous pour en savoir plus sur les nouvelles fonctionnalités et améliorations de Vivado™ ML 2020.1.

  • Téléchargez la prise en charge de la vérification (résumé et signature) pour Windows
  • La fonction de téléchargement uniquement pour le programme d'installation Web prend désormais en charge deux options
    • Télécharger l'image complète (tous les produits)
    • Télécharger uniquement les produits sélectionnés (taille plus petite)

  • Nouvel exemple de conception et utilitaire de téléchargement de fichiers de carte. Téléchargez uniquement ce dont vous avez besoin et accédez à une vaste bibliothèque de solutions AMD et tierces sur github.
  • Nouveaux exemples améliorés de conception, disponibles en téléchargement

  • Introduction de nouveaux concepts de « chemin » et de « réseau »
    • Conserve une apparence familière
  • Vérification croisée complète avec Address Editor
    • Mise en évidence par les chemins et/ou les réseaux
  • Mise en évidence des erreurs en temps réel
    • L'infobulle fournit des détails sur l'échec
  •  Nouveau panneau « Address Path »
    • Détails du chemin
  • Nouvelle « Addressing View » simplifiée
    • Simplifié pour le contenu adressable uniquement
    • Vue claire de la connectivité d'adressage

Centre de données

  • Améliorations apportées à ERNIC IP
    • La bande passante et la latence ont été améliorées pour fonctionner à des débits de ligne de 100GE.
    • Amélioré pour prendre en charge les adresses 64 bits. De nouvelles fonctions sont désormais disponibles : Fonction PFC et commande immédiate.
  • Nouvelle adresse IP AES, pour les applications de chiffrement des centres de données.
  • L'IP du nouveau contrôleur cible NVMe rejoint l'accélérateur hôte pour l'accélération du stockage.
  • La solution clé en main NVMeOF U50 Alveo est désormais disponible. Inclut un fichier binaire et la documentation FPGA.
  • Révision majeure du sous-système DMA de la file d'attente pour PCI Express (QDMA 4.0) afin d'améliorer la synchronisation, de réduire l'utilisation des ressources et de simplifier la migration.

Avec ou sans fil

  • Sans fil
    • Ajout de la prise en charge JESD204C pour GTH3/4 – préproduction 2020.1
    • Nouvelle interface IP radio ORAN qui fournit une fonction O-RU (unité radio O-RAN) avec SRS/PRACH AXI-Stream dédié et 32 flux spatiaux.
    • Nouvelle mise en œuvre soft et facultative 400G FEC IP utilisant US+ 58G GTM Hard 50G KP4 FEC pour économiser de la place et de l'énergie.
  • Filaire
    • Ajout de la prise en charge d'AXI Ethernet pour les modèles SGMII et 1000BASE-X.
    • Le sous-système Ethernet 50G a ajouté le soft 50G 'KP2' NRZ FEC en option
    • Le sous-système Ethernet 100G intégré a ajouté le soft 100G 'KP4' NRZ FEC en option

Général

  • IP du pare-feu : protège les directions en amont ou en aval. Cette IP permet d'isoler les régions dans FPGA-as-a-Service et d'autres applications.
  • SmartConnect IP optimisé pour les modes de zone inférieure, ainsi que les fonctions de couplage et de conversion 1x1.

IP vidéo et imagerie

  • Les sous-systèmes SDI prennent en charge le 12bpc et le HFR en mode d'interface vidéo native
  • Le sous-système de transmission MIPI CSI prend en charge des formats couleur raw16 et raw20
  • Le Video Mixer ajoute des options pour la prise en charge de la colorimétrie BT.709 et BT.601
  • Les sous-systèmes HDMI2.0 prennent en charge 32 canaux audio et l'audio 3D

  • La possibilité de remplacer les attributs HDL avec les contraintes XDC permet de modifier le comportement de la synthèse sans modifier le code source HDL.
  • Réutilisez et intégrez des conceptions en différents langages grâce à l'amélioration du passage basé sur les génériques et les paramètres, entre différents langages dans la même conception.
  • Les performances de l'outil ont été considérablement améliorées pour la gestion des appels de fonction. Des améliorations ont été apportées pour tous les langages.
  • Une nouvelle directive appelée Logic Compaction met en œuvre des fonctions arithmétiques de faible précision utilisant des ressources logiques minimales.
  • Le mappage de la mémoire est considérablement amélioré en équilibrant les baies sur différents types de ressources afin d'éviter une utilisation élevée d'un type de ressource en particulier.

Dynamic Function eXchange (DFX)

  • Le DFX intégré permet aux utilisateurs de placer une ou plusieurs régions dynamiques dans une région dynamique afin d'étendre davantage la flexibilité du DFX
    • Prend en charge UltraScale et UltraScale+
    • Destiné à la production, pas de prise en charge de projet
  • Avantages
    • Vérification simplifiée
    • Disponibilité de la carte du centre de données
    • Granularité plus fine
  • Toutes les IP existantes pour la reconfiguration partielle ont été remplacées par des IP équivalentes avec terminologie Dynamic Function eXchange
    • Les IP fonctionnent de la même façon que les précédentes et la mise à niveau de PR vers DFX est facile.

Flux de conception de la mise en œuvre

  • Les Pblocks sont désormais de type SOFT par défaut
  • Seule exception : les Pblocks DFX ont des limites hard par définition et ne peuvent pas devenir SOFT
  • Avantage
    • Le placement des cellules en dehors des limites de Pblock peut améliorer les performances de la conception (câbles plus courts, encombrement réduit)

Analyse de conception et fermeture temporelle

  • La fonctionnalité Report QoR Suggestions prévoit jusqu'à trois stratégies personnalisées pour de meilleures performances
    • On s'attend donc à obtenir de meilleurs résultats par rapport aux paramètres par défaut et aux paramètres Performance_Explore
    • Permet de gagner du temps et de réduire le travail de compilation pour balayer de nombreuses stratégies.
    • Exécutez report_qor_assessment (RQA) pour vérifier si la conception est compatible avec la prévision de stratégie.
  • Le rapport report_ram_utilization a été entièrement révisé pour fournir des informations pertinentes.
    • Faites des compromis sur les ressources mémoire
    • Identifiez les DRAM inefficaces
    • Consultez les optimisations post-opt
    • Performance/goulots d'étranglement de la puissance

Analyse de la consommation énergétique

  • Vivado prend désormais en charge les rapports par rail d'alimentation
    • Les rapports de consommation énergétique calculent l'énergie totale consommée actuellement par rapport aux budgets actuels, à la fois pour les rails et les blocs d'alimentation.
    • Les définitions des rails d'alimentation sont incluses dans les fichiers de carte
  • Rapports sur les rails désormais disponibles pour Alveo U50